View
235
Download
0
Category
Preview:
Citation preview
7/25/2019 Nexys4 PDM RefProj2 V2
1/12
1300 H C
P, A 99163
509.334.6306
..
Nexys4 PDM Filter Project
Revised February 3, 2014
This manual applies to the Nexys4 rev. B
DOC#: 560-010C D, I. A .
O . P
Introduction
T (PDM) R. 2
N4 ADMP421 MEMS R. 1. T
A7 FPGA (CIC) R. 9
(FIR) R. 20, 16 96 H .
T ISE 14.6 HDL.
1 Functional Description and Implementation Details
I R. 3 R. 4,
, FIR ,
FIR , , F 1.
F 1. PDM
T PDM
6.144 MH , 3.072 MH . T L/R
, C.
F 1. .
IBUFG
100 MHz
MMCM 2
BUFR
6.144MHz
BUFG
CIC16
HB2
LP HP
3.072MHz
CLK
DATA
L/R
22 22 16 16
MEMSMic.
ARTIX-7 FPGAEXTERNAL
fs=3.072 MHz
fs=192 kHz
fs=96 kHz
fs=96 kHz
CLK
7/25/2019 Nexys4 PDM RefProj2 V2
2/12
C D, I. A .O . P
1.1 The CIC Stage
T PDM . I LCORE CIC C R. 11 R.
12 R. 13. T 1 :
T 1. .
T CIC 3.072 MH, 16. T
192 H.
1.2 The Half-Band Filter
FIR O 14
F(S ) 192 H
F(C ) 21.8 HA(S ) 80 B
C 1 15
T R. 16 R. 17
LCORE FIR C R. 21 R. 22. T MATLAB,
(.. S, O, .) .
115-bit wide coefficients were chosen to spread across the full 80 dBs of magnitude band.
F T D
N S 5
C 3.5
R. 10,
R. 1 .
D D 1N R.
13.
N C 1
S R C 16 T .
H O F FS
T .
I S F 3.072 MH T PDM .
C F 3.072 MH
I D 2
T
PDM ( LSB 1
1 PDM ).
QF
P
O 22
.
DSP S DSP .
T 2. .
7/25/2019 Nexys4 PDM RefProj2 V2
3/12
C D, I. A .O . P
6.3 R. 21. T MATLAB
T 2, (F 2):
H , FIR C (T 3):
T 3. .
0 10 20 30 40 50 60 70 80 90
-80
-60
-40
-20
0
Frequency (kHz)
Magnitude(dB)
Magnitude Response (dB)
Astop =
80 dB
Fpass =
21.8 kHz
F T D D F T .
R C T I D
D R 2A 2
96 H.
H O F F
S
T
.I S F
0.192 MHT (192
H).
C F 3.072 MH
QI
C
T
FIR C
.
C 15
C S HT
R. 22.
I D T S T CIC .
I D 22
O R MT
LSB
E 34 :
R. 22
; ,
.
O 22 O 22 .
F 2. .
7/25/2019 Nexys4 PDM RefProj2 V2
4/12
C D, I. A .O . P
1.3 The Low-Pass Filter
T LCORE FIR C R. 21R. 22
T 4 ( F 3):
FIR O 161
F(S ) 96 H
F( ) 13 H
F ( ) 15 H
( ) 1 B
( ) 100 B
C 2 20
I FIR C, T 5:
220-bit wide coefficients were chosen to spread across the full 100 dBs of magnitude band.
0 5 10 15 20 25 30 35 40 45
-100
-90
-80
-70
-60
-50
-40
-30
-20
-10
0
Frequency (kHz)
Magnitude(dB)
Magnitude Response (dB)
Wstop =
100 dBFpass =
13 kHz
Fstop =
15 kHz
Wpass =
1 dB
F 3. .
T 4. .
7/25/2019 Nexys4 PDM RefProj2 V2
5/12
C D, I. A .O . P
F T S S 1,
.
H O
F
F
S
T
.
I S F 0.096 MH T (96 H).
C F 3.072 MH
C T S S .
C 20
C S S S C 3 7.0 R. 22.
I D T S T CIC .
I D 22
O R M T LSB
E 34 7.0
R. 22
; ,
.
O 22 O 22 .T 5. .
1.3 The High-Pass Filter
T , DC
( ). I R. 24,
, R. 23 :
R. 25.
A (16 17), (17)
1 ( R. 24). 212
(
1) 18.6 H 10 B/ .
F 4 .
z-1x[n]
y[n]
29
29
17
17
16
signextend
17-
+
16
2-12
+
+
[28:12]
F 4. .
7/25/2019 Nexys4 PDM RefProj2 V2
6/12
C D, I. A .O . P
2 Area and Performance
T , PDM F 5. F 6
3 .
F 5. .
F 6. .
3In order to convert the acquired frequency response data to discrete-time filter numerator and denominator z
polynomials (its transfer function), a Gauss-Newton iterative search of linear equations was used (function invfreqzinMATLAB) with an approximation error on the result as follows: magnitude response 1%, phase response 1.7%.
101
102
103
104
105
-150
-100
-50
0
50
Frequency [Hz]
Magnitude[dB]
101
102
103
104
105
-6000
-4000
-2000
0
2000
Frequency [Hz]
Angle[deg]
0 100 200 300 400 500-2
-1.5
-1
-0.5
0
0.5
1
1.5
2
Samples
Amplitude
7/25/2019 Nexys4 PDM RefProj2 V2
7/12
C D, I. A .O . P
3 Design Usage Example: Schroeder Reverberator
T S R. 28 PDM . T
:
T PDM ,
. A ,
.
I ( ),
HDL R. 27:
=
T60=
fs=
F 7. ()
, ()
, ()
.
7/25/2019 Nexys4 PDM RefProj2 V2
8/12
C D, I. A .O . P
References
1 A D: , ADMP421 D S,
. D.
2 : , ://..//P, M 2013.
3 . B: ,
://../E/DS/DS., 2008.
4 J. D. R: : , J. A E. S., .
56, N. 1/2, J/F 2008.
5 . M: , ://..///268//.
6 N. H: , EE350 E
E N, . 1, A 2010.
7 A, I.: , A N 455, . 1.0, A 2007.
8 R. G. L: ,
://..///4006446/
, M 2005.
9 M. P. D: , F P I, J 2000.
10 S. P: , M
T , 2007.
11 , I.: 3.0, DS845 P S, . 1.0, J 2011.
12 , I.: () 3.0, P S, M 2002.
13 , I.: 4.0, PG140 P G D S, . 1.0, M
2013.
14 G. J. D, J. D. C: , A MATLAB S
E, ISBN: 9789533077086, . 225246, 2011.
15 A. C. C, E. F: ,
A S, E T 54, . 7578, 2011.
16 H. G. G: :
, A D S P, ISBN: 9789533074061, . 237278, 2011.
17 T. S, T. K, T. R, H. T:
, P. 1990 IEEE I S C S (N
O, L), . 32753278, M 1990.
18 P. , B. S, M. K, M. : ,
I J A T, . 4, . 3 & 4, 2011.
7/25/2019 Nexys4 PDM RefProj2 V2
9/12
C D, I. A .O . P
19 P. P. , T. Q. N: , IEEE T
C S, . CAS32, . 3, M 1987.
20 R. T, K. K: , I
J M O, . 3, N. 1, F 2013.
21 , I.: 6.3, DS795 P S, . 1.3, O 2011.
22 , I.: 7.0, PG149 P G D S, . 1.0, M
2013.
23 R. , R. L: , IEEE S P M, . 132134, M 2008.
24 K. C: : , P279 P, .
1.0, J 2008.
25 R. G. L: : , ://..//
/4007653/DSPTDCR, A 2008.
26 E. D: , ://.//15471//, M 2008.
27 E. D: , ://.//15491/1.2/, M 2008.
28 J. S: : , EECS 195 F
P, M 2005.
7/25/2019 Nexys4 PDM RefProj2 V2
10/12
C D, I. A .O . P
Appendix A: Half-Band Filter Coefficients
T FIR C :
radix = 10;
coefdata = -100, 0, 614, 0, -2295, 0, 9971, 16383, 9971, 0, -2295, 0, 614,
0,-100;
Appendix B: Low-Pass Filter Coefficients
T FIR C :
radix = 16;
coefficient_width = 20;
coefdata = 00041, 00111, 002c8, 0056d, 0086d, 00a86, 00a33, 0067c, fffc5,
ff81b, ff282, ff179, ff573, ffc43, 0020f, 00380, fffdd, ff9ae,
ff54a, ff600, ffbb8, 002c4, 0063b, 0036e, ffc13, ff567, ff49a,
ffaeb, 00457, 00a1b, 0078f, ffde6, ff3dd, ff12c, ff8b1, 005c2,
00ef5, 00ce1, 00003, ff133, febb2, ff4be, 006e7, 01520, 0140d,
002f3, fed69, fe3ad, fee8d, 007ce, 01d64, 01e2d, 0074f, fe801,
fd7b5, fe4d3, 00880, 02984, 02dc2, 00e6b, fdfa3, fc42b, fd42d,
00902, 03e33, 049ba, 01bf8, fcff2, f9d13, fb0cb, 00958, 06e10,
08fee, 0416c, fa175, f18e6, f24d3, 00982, 19cd6, 34c97, 46294,
46294, 34c97, 19cd6, 00982, f24d3, f18e6, fa175, 0416c, 08fee,
06e10, 00958, fb0cb, f9d13, fcff2, 01bf8, 049ba, 03e33, 00902,fd42d, fc42b, fdfa3, 00e6b, 02dc2, 02984, 00880, fe4d3, fd7b5,
fe801, 0074f, 01e2d, 01d64, 007ce, fee8d, fe3ad, fed69, 002f3,
0140d, 01520, 006e7, ff4be, febb2, ff133, 00003, 00ce1, 00ef5,
005c2, ff8b1, ff12c, ff3dd, ffde6, 0078f, 00a1b, 00457, ffaeb,
ff49a, ff567, ffc13, 0036e, 0063b, 002c4, ffbb8, ff600, ff54a,
ff9ae, fffdd, 00380, 0020f, ffc43, ff573, ff179, ff282, ff81b,
fffc5, 0067c, 00a33, 00a86, 0086d, 0056d, 002c8, 00111, 00041;
7/25/2019 Nexys4 PDM RefProj2 V2
11/12
C D, I. A .O . P
Appendix C: Overall FPGA Usage
N S R 951 126,800 1
N F F 951
N L 0
N L 0
N AND/OR 0
N S LT 693 63,400 1
N 325 63,400 1
N O6 235
N O5 0
N O5 O6 90
N ROM 0
N M 336 19,000 1
N D P RAM 48
N O6 8
N O5 0
N O5 O6 40
N S P RAM 0
N S R 288
N O6 247
N O5 0
N O5 O6 41
N 32
N 32
N 0
N 0
N S 311 15,850 1
N LT F F 895
N F F 106 895 11
N LT 202 895 22
N LTFF 587 895 65
N 0 126,800 0
N IOB 14 210 6
N LOC IOB 14 14 100 IOB F F 0
N RAMB36E1/FIFO36E1 0 135 0
N RAMB36E1 0
N FIFO36E1 0
N RAMB18E1/FIFO18E1 0 270 0
N BFG/BFGCTRL 4 32 12
7/25/2019 Nexys4 PDM RefProj2 V2
12/12
C D, I. A .O . P
N BFG 4
N BFGCTRL 0
N IDELAE2/IDELAE2FINEDELA 0 300 0
N ILOGICE2/ILOGICE3/ISERDESE2 0 300 0
N ILOGICE2 0
N ILOGICE3 0
N ISERDESE2 0
N ODELAE2/ODELAE2FINEDELA 0
N OLOGICE2/OLOGICE3/OSERDESE2 0 300 0
N OLOGICE2 0
N OLOGICE3 0
N OSERDESE2 0
N PHASERIN/PHASERINPH 0 24 0
N PHASEROT/PHASEROTPH 0 24 0
N BSCAN 0 4 0
N BFHCE 0 96 0
N BFR 1 24 4
N CAPTRE 0 1 0
N DNAPORT 0 1 0
N DSP48E1 16 240 6
N EFSESR 0 1 0
N FRAMEECC 0 1 0
N IBFDSGTE2 0 4 0
N ICAP 0 2 0
N IDELACTRL 0 6 0
N INFIFO 0 24 0
N MMCME2AD 1 6 16
N OTFIFO 0 24 0N PCIE21 0 1 0
N PHASERREF 0 6 0
N PHCONTROL 0 6 0
N PLLE2AD 0 6 0
N STARTP 0 1 0
N ADC 0 1 0
Recommended