11
SISTEMAS DIGITAIS MEEC 2014-2015 12 de Novembro de 2014, 20:00 Aluno: Pág. 1 A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas. A TESTE 1. Considere o seguinte circuito lógico: a) Obtenha, através da inspeção do circuito e posterior simplificação algébrica (sem recurso a tabela de verdade), a função booleana X(A,B,C) na forma canónica disjuntiva (soma de produtos). Justifique com todos os passos intermédios. ............................................................................................................. [2,0 val.] = + ̅ + ̅ ̅ Antes de iniciar o teste, tenha em atenção o seguinte: i. Duração do teste: 1h30m. ii. O teste contempla 4 perguntas, distribuídas em 10 páginas. iii. Existem 4 variações distintas do teste: A, B, C e D. iv. O teste é sem consulta. Sobre a secretária apenas deve encontrar-se a sua identificação (cartão de estudante). v. Identifique todas as folhas do enunciado. Folhas não identificadas não serão cotadas! vi. Resolva o teste no próprio enunciado. Para cada questão é fornecido um espaço próprio, dentro do qual deverá responder. A sua dimensão está ajustada ao tamanho expectável da resposta. vii. Excecionalmente, e caso realmente necessite, pode usar o espaço extra disponível das páginas em branco, colocadas ao longo do teste. Nesse caso, deve indicar junto ao enunciado da pergunta, que a resposta à mesma se encontra na página que utilizou. viii. Justifique adequadamente todas as respostas. ix. Responda ao teste com calma. Se não sabe responder a uma pergunta, passe à seguinte e volte a ela no fim. A B C 1 X

C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

Embed Size (px)

Citation preview

Page 1: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 1

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

1. Considere o seguinte circuito lógico:

a) Obtenha, através da inspeção do circuito e posterior simplificação algébrica (sem recurso a tabela de

verdade), a função booleana X(A,B,C) na forma canónica disjuntiva (soma de produtos). Justifique com

todos os passos intermédios. ............................................................................................................. [2,0 val.]

𝑋 = 𝐴𝐵𝐶 + �̅��̅�𝐶 + �̅��̅�𝐶̅

Antes de iniciar o teste, tenha em atenção o seguinte:

i. Duração do teste: 1h30m.

ii. O teste contempla 4 perguntas, distribuídas em 10 páginas.

iii. Existem 4 variações distintas do teste: A, B, C e D.

iv. O teste é sem consulta. Sobre a secretária apenas deve encontrar-se a sua identificação (cartão

de estudante).

v. Identifique todas as folhas do enunciado. Folhas não identificadas não serão cotadas!

vi. Resolva o teste no próprio enunciado. Para cada questão é fornecido um espaço próprio, dentro

do qual deverá responder. A sua dimensão está ajustada ao tamanho expectável da resposta.

vii. Excecionalmente, e caso realmente necessite, pode usar o espaço extra disponível das páginas

em branco, colocadas ao longo do teste. Nesse caso, deve indicar junto ao enunciado da

pergunta, que a resposta à mesma se encontra na página que utilizou.

viii. Justifique adequadamente todas as respostas.

ix. Responda ao teste com calma. Se não sabe responder a uma pergunta, passe à seguinte e volte

a ela no fim.

ABC

1 X

Page 2: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 2

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

b) Implemente esta função utilizando apenas um multiplexer 4:1 semelhante ao apresentado em cima e o

mínimo de lógica adicional. ................................................................................................................ [1,5 val.]

Sugestão: comece por fazer a tabela de verdade da função.

0

1} 0G

_3

MUX

0

1

2

3

A

B

1

0

0

C

X

Page 3: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 3

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

2. Pretende-se implementar um circuito combinatório que descodifique o valor binário de 4-bits colocado à sua

entrada em cada um dos sinais que irão ativar os 7 segmentos do display. Assuma que o valor de entrada

varia apenas entre 0 e 9.

X0

X1

X2

X3

DEC 7seg

X0

X1

X2

X3

A figura de cima apresenta o mapeamento entre

cada número representado na entrada (X3,X2,X1,X0) e

os segmentos (a,b,c,d,e,f,g) que deverão ser ligados,

de acordo com a tabela apresentada ao lado:

a) Indique a função Booleana na forma canónica conjuntiva (produto de somas) correspondente ao sinal do

segmento (a). ...................................................................................................................................... [1,5 val.]

Por análise da tabela de verdade, obtém-se:

𝑎 = (𝑥3 + 𝑥2 + 𝑥1 + 𝑥0̅̅ ̅). (𝑥3 + 𝑥2̅̅ ̅ + 𝑥1 + 𝑥0)

X3 X2 X1 X0 a b c d e f g

0 0 0 0 1 1 1 1 1 1 0

0 0 0 1 0 1 1 0 0 0 0

0 0 1 0 1 1 0 1 1 0 1

0 0 1 1 1 1 1 1 0 0 1

0 1 0 0 0 1 1 0 0 1 1

0 1 0 1 1 0 1 1 0 1 1

0 1 1 0 1 0 1 1 1 1 1

0 1 1 1 1 1 1 0 0 0 0

1 0 0 0 1 1 1 1 1 1 1

1 0 0 1 1 1 1 1 0 1 1

Page 4: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 4

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

b) Implemente o circuito correspondente à ativação dos segmentos (e,f) utilizando exclusivamente portas

NOT, NOR de 4 entradas e descodificadores 3:8 semelhantes aos ilustrados na figura. .................. [2,0 val.]

X/Y

0

1

2

3

1

2

4

5

6

7

4

EN

&

X/Y

0

1

2

3

1

2

4

5

6

7

4

EN

&

e

f

x0

x1

x2

x3

x0

x1

x2

x3

Vcc

gnd

X/Y

0

1

2

3

1

2

4

5

6

7

4

EN

&

Page 5: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 5

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

c) Indique o estado dos segmentos (e,f) quando, inadvertidamente, a entrada X3,X2,X1,X0 apresenta o valor

13? Justifique. ...................................................................................................................................... [0,5 val.]

Colocando o valor (x3,x2,x1,x0)=1101 à entrada do circuito anterior, observa-se que:

e=0; f=1

Page 6: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 6

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

e

3. Pretende-se implementar um sistema de gestão da energia consumida pelos 2 elevadores

existentes num determinado edifício constituído por 10 pisos, numerados entre -2 (cave

mais baixa) e 7 (piso mais elevado). O piso térreo assume o valor 0. Em cada instante, o

sistema de controlo representa a posição de cada elevador através das variáveis A e B, que

representam o piso em que se encontram os elevadores utilizando uma representação em

complemento para 2.

a) Indique o número mínimo de bits (n) necessários para representar a posição dos

elevadores (variáveis A e B). Justifique. ............................................................................................. [1,0 val.]

4 bits

b) Os pisos -2, 5, 6 e 7 foram ocupados por uma embaixada, pelo que foi necessário impor medidas de

segurança para impedir a paragem dos elevadores nestes pisos por pessoas não autorizadas. Implemente

um circuito que gere o sinal GrantAccess a partir dos bits que representam a variável A (posição do

elevador A) e que assinala (com o valor lógico 0) a passagem do elevador pelos pisos da embaixada.

Apresente o mapa de Karnaugh utilizado na minimização e utilize exclusivamente portas lógicas NAND de

3 entradas. Assuma que este sinal pode tomar qualquer valor quando a variável A toma valores fora da

gama de representação dos pisos. ...................................................................................................... [3,0 val.]

X3

0 0 1 1

X1

X2 X0

0 1 1 0

0 0 1 1 x X

0 1 1 0 x X

1 1 1 0 1 X

1 0 1 0 0 x

GrantAccess = 𝑥2. 𝑥1̅̅ ̅. 𝑥0̅̅ ̅̅̅ ̅̅ ̅̅ ̅. 𝑥3. 𝑥0̅̅ ̅̅ ̅̅ ̅̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅

Page 7: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 7

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

(Página deixada intencionalmente em branco.)

x0 x1 x2 x3

GrantAccess

Page 8: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 8

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

c) O algoritmo de minimização de energia consumida baseia-se no princípio simples de, aquando de uma

chamada do elevador, deslocar o elevador (A ou B) que está mais próximo do piso (X) onde se encontra a

pessoa a transportar. Implemente um circuito que gere um sinal MoveA caso seja o elevador A o que está

mais próximo. ..................................................................................................................................... [1,5 val.]

NOTA: sugere-se a utilização (não

obrigatória) dos seguintes

componentes. Em particular, o

módulo ABSDIF calcula o valor

absoluto da diferença entre dois

números representados em

complemento para 2 (i.e., Y=|A-B|).

A

X

X

B

MoveA

ABSDIF m

Bm

mA

m+1|A-B|

01

n-10

1

n-1

P

Adder n

R

CI CO

01

n-1

Q

n

n

n

0

1

n-1

P

Comp n

01

n-1

Q

n

n

P > Q

P = Q

P < Q

Page 9: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 9

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

d) Para aceder aos pisos da embaixada, foi colocado um leitor de cartões magnéticos no

elevador, a fim de permitir a leitura da identificação do utilizador (representada na

base 16).

Indique a identificação (em decimal) do utilizador correspondente à leitura do

valor K = 1AE16. .............................................................................................................................. [1,0 val.]

K = 430(10)

Represente o número J = -K na base 2, em notação de complemento para 2. ........................... [0,5 val.]

J = -K = 1110 0101 0010

Page 10: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 10

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

4. Considere o circuito sequencial da figura abaixo com entradas CLK, RESET e X(3:0) e saídas Z e N,

correspondentes às flags de Zero e Negative do somador.

Q

C1CLK

Q31D

RINI

Q

C1CLK

Q21D

SINI

Q

C1CLK

Q11D

RINI

Q

C1CLK

Q01D

SINI

Q(3:0)

4Rotate

Left

X/Y

0

1

2

3

1

2

Q0

Q1

&

Adder4

P(3:0)

Q(3:0)

0

1} 0G

_3

MUX

0

1

2

3

Q0

Q1

X0

X1

X2

X3

B0

B1

B2

0

1} 0G

_3

MUX

0

1

2

3

Q2

Q3

B3

Q(3:0)

4

B(3:0)

4

A(3:0)

4

B(3:0)

4

D(3:0)

4

D3

D2

D1

D0

S(3:0)

Z

N

Z

N

X0

X1

X2

X3

D(3:0)

4

a) Considerado que X=0101(2), e desprezando os tempos de propagação das portas lógicas, complete o

diagrama temporal apresentado em baixo. ........................................................................................ [2,0 val.]

CLK

INI

Q(3:0)

Z

N

0111

A(3:0)

B(3:0)

D(3:0)

0000 0101

1110 0000 1010

0010 1111 0011

0000 1111 1101

Tempo de propagação dos flip-flops (se não fosse desprezável)

Page 11: C B A - groups.tecnico.ulisboa.ptgroups.tecnico.ulisboa.pt/deec-sd/testes_exames/1415i_teste1_sol.pdf · a) Obtenha, através da inspeção do circuito e posterior simplificação

SISTEMAS DIGITAIS

MEEC 2014-2015 12 de Novembro de 2014, 20:00

Aluno: Nº Pág. 11

A não identificação desta folha implica que as respostas que lhe correspondem não lhe serão atribuídas.

A TESTE

b) Considerando os tempos de propagação indicados na tabela ao lado,

indique justificadamente qual o caminho crítico que conduz ao mínimo

período de relógio. Indique ainda o valor da frequência máxima de relógio ..................................... [1,5 val.]

O caminho crítico corresponde ao tempo (pior caso, i.e., maior valor)

necessário para que o sinal se propague da saída de um qualquer flip-flop

até à entrada de um qualquer flip-flop (mesmo ou outro).

1) T(FF(p) – Rotate Left – Adder – FF(su)) = 20 + 10 + 260 + 10 = 300 ps

2) T(FF(p) – X/Y – NAND – ADDER – FF(su)) = 20 + 60 + 20 + 260 + 10 = 370 ps

3) T(FF(p) – MUX – ADDER – FF(su)) = 20 + 80 + 260 + 10 = 370 ps

Assim, neste circuito específico existem dois caminhos críticos que limitam o periodo de relógio (pontos 2

e 3) a um mínimo de 370ps. Assim, Fmax = 1012/370 Hz = 2.7GHz

c) Indique o esquema interno do componente Adder4 considerando a utilização de full-adders, half-adders e

qualquer outra lógica que achar conveniente. Justifique ................................................................... [2,0 val.]

FA

FA

FA

HA

Ci

Ci

Ci

Co

Co

Co

Co

Po

Do

P1

D1

P2

D2

P3

D3 S3

S2

S1

So

Z

N

Componente tp[ps] tSU[ps]

FF 20 10 Rotate Left 10 - X/Y 60 - MUX 80 - AND2 30 - OR2 30 - NAND2 20 - NOR2 20 - Adder4 260 -