88
Eduardo Manuel da Silva Machado Modem Acústico Subaquático a 1 Mbit/s Eduardo Manuel da Silva Machado maio de 2016 UMinho | 2016 Modem Acústico Subaquático a 1 Mbit/s Universidade do Minho Escola de Engenharia

Eduardo Manuel da Silva Machado - repositorium.sdum.uminho.pt · OOK de dois canais, possui duas ondas portadoras de 1 MHz e 0.5 MHz que permitem uma transmissão com um débito máximo

Embed Size (px)

Citation preview

Eduardo Manuel da Silva Machado

Modem Acústico Subaquático a 1 Mbit/s

Edua

rdo

Man

uel d

a Si

lva M

acha

do

maio de 2016UMin

ho |

201

6M

odem

Acú

stic

o Su

baqu

átic

o a

1 M

bit/

s

Universidade do MinhoEscola de Engenharia

maio de 2016

Dissertação de MestradoCiclo de Estudos Integrados Conducentes ao Grau de Mestreem Engenharia de Telecomunicações e Informática

Trabalho efetuado sob a orientação doProfessor Doutor Marcos Silva Martins

e co-orientação doProfessor Doutor José Manuel Tavares Viera Cabral

Eduardo Manuel da Silva Machado

Modem Acústico Subaquático a 1 Mbit/s

Universidade do MinhoEscola de Engenharia

Agradecimentos

III

Agradecimentos

Emprimeiro lugar, querodeixarduaspalavrasemespecial, umanapessoado

meuorientador,ProfessorDoutorMarcosMartins,pelapaciênciaecalmaemtodos

osmomentosmaisdifíceis,pelasabedoriapartilhadaeajudaincondicional.

Outra, na pessoa domeu co-orientador, o Professor Doutor José Cabral, que

tantoestimo,sempreprontoapartilharoseuconhecimentoeassuasexperiências

aolongodesteprocesso.

Atodososmeuscompanheirosdeturmadesdeaentradanafaculdade,aeleso

meuobrigadaportodososmomentosdereflexão,descontraçãoediversão.

E finalmente queria agradecer aos meus pais, por toda a ajuda mesmo em

alturasmaisdifíceis.Ajudaram-meaalcançarosobjetivosaquemepropus,eacima

detudoaconstruiraquiloquesou.Portodooapoio,obrigado.

IV

Abstract

V

Resumo

Oobjetivodestadissertaçãoéaimplementaçãodeummodemdecomunicação

acústica subaquática com alto débito binário de baixo consumo. Este modem é

constituído por ummódulo emissor e ummódulo recetor que permite comunicar

numambientesubaquáticoemtemporealatravésdesinaisacústicos.Asprincipais

dificuldades neste tipo de comunicação são as características do ambiente

subaquáticoqueatenuamedegradambastanteosinalaolongodasuapropagação.

Apósodesenvolvimentodomodem, foramfeitosváriostestesemcenáriorealaos

diferentesmóduloseemdiferentescondições.

Omodem acústicoéconstituídopordoismódulos (emissore recetor)evários

blocosassociados.Emrelaçãoaomóduloemissor,fazemparteosseguintesblocos:

interface do utilizador, modulador baseado em FPGA, conversor digital-analógico,

amplificadordesinaletransdutoracústico.Omódulorecetoréconstituídoporum

hidrofone, amplificador/filtro, desmodulador baseado também em FPGA e

finalmente, a interface comoutilizador.Oprincipal fatorquepermitiu aomodem

atingir elevados débitos, foi a técnica demodulação implementada. Omodulador

OOK de dois canais, possui duas ondas portadoras de 1 MHz e 0.5 MHz que

permitemumatransmissãocomumdébitomáximode1Mbps.

Oambienteaquáticoacrescentoubastantesdificuldadesaestetrabalhodevido

àssuascaracterísticas:elevadasatenuaçõesalongasdistâncias,existênciadegrande

diversidade de ruído, fenómeno do multi-percurso, reduzida velocidade de

propagaçãoacústicanaágua(cercade1500m/s)eoefeitodeDoppler.

Porfim,ostestesrealizadosapósodesenvolvimentodomodem,revelaramque

os objetivos iniciais foram cumpridos. O sistema foi capaz de transmitir sinais

modulados e proceder à sua desmodulação de forma a serem corretamente

interpretadospelorecetor.

VI

Abstract

VII

Abstract

Thegoalof this thesis is thedevelopmentofahighdatarateand lowpower

ultrasonicwirelessbroadbandcommunicationsystem.Thissystemiscomposedbya

transmitter and a receivermodule that enable underwater communication in real

time through acousticwaves. Themain difficulties to develop this type of system

are: the features of the underwater environment that attenuate and degrade the

signal along its spread. Once developed, tests weremade in a real scenario with

differentmodulesandunderdifferentconditions.

The system is divided in two main modules (transmitter and receiver). The

transmitter module, is composed by the following blocks: a user interface, a

modulatorimplementedinFPGA,adigitaltoanalogconverter,asignalamplifierand

atransducer.Ontheotherhand,thereceivermoduleisformedbyahydrophone,an

amplifier / filter, a demodulator also implemented in a FPGA and, finally, a user

interface. The factor that allowed this system to achieve high data rate, was the

selectedmodulationscheme.TheOOKmodulatorwithtwodatachannels,hastwo

carriersof1MHzand0.5MHzthatallowstoachieveamaximumbitrateof1Mbps.

Theaquaticenvironmentincreasedthelevelofdifficultyonthisworkdueits

characteristics,which includes factors such as: large attenuation in long distances,

theexistenceofgreatdiversityofnoise,themultipathphenomenon,thelowspeed

ofacousticpropagationinwater(about1500m/s)andtheDopplereffect.

Finally,thetestscarriedoutafterthedevelopmentofthesystemrevealedthat

the initial objectives were accomplished. The system is capable of transmitting

modulated signals and perform their demodulation in order to be correctly

interpretedbythereceiver.

VIII

Conteúdo

IX

Conteúdo

Agradecimentos....................................................................................................iii

Resumo...................................................................................................................v

Abstract................................................................................................................vii

Conteúdo..............................................................................................................ix

ListasdeFiguras....................................................................................................xii

Listadetabelas....................................................................................................xiv

ListadeAcrónimos................................................................................................xv

1. Introdução..............................................................................................1

1.1 EnquadramentoeMotivação..................................................................2

1.2 Objetivos.................................................................................................5

1.3 EstruturadaDissertação.........................................................................5

2. Estadodaarte.........................................................................................2

2.1.1 Sistemadecomunicaçãoótica.........................................................2

2.1.2 SistemadeComunicaçãoporondaseletromagnéticas...................3

2.1.3 SistemadeComunicaçãoAcústica...................................................4

2.1.4 SumáriodosSistemasdeComunicaçãoSubaquáticos.....................5

2.2 Característicasdocanal...........................................................................5

2.2.1 EfeitoDoppler..................................................................................5

2.2.2 RuídoAmbiente...............................................................................6

X

2.2.3 Atenuação........................................................................................7

2.2.4 Spreadingloss..................................................................................8

2.2.4.1 Espalhamentoesférico................................................................8

2.2.4.2 EspalhamentoCilíndrico..............................................................9

2.2.4.3 EspalhamentoDirecional...........................................................10

2.2.5 Absorptionloss...............................................................................11

2.2.6 AtrasodePropagação....................................................................12

2.2.7 Multi-percurso...............................................................................13

2.2.8 Bolhas.............................................................................................15

3. TécnicasdeModulaçãoDigital................................................................2

3.1 ComunicaçãoAnalógicaversusDigital....................................................3

3.2 VantagensdaComunicaçãoDigital.........................................................4

3.2.1 DesvantagensdaComunicaçãoDigital............................................5

3.3 TécnicasdeModulaçãoDigital................................................................6

3.3.1 ASK...................................................................................................6

3.3.2 BPSK.................................................................................................7

3.3.3 FSK....................................................................................................8

3.3.4 OOK..................................................................................................9

3.3.5 QPSK...............................................................................................10

3.4 SistemasExistentes...............................................................................11

4. ArquiteturadoSistema.........................................................................14

4.1 Hardware...............................................................................................14

4.1.1 Arquiteturadosistema..................................................................15

Conteúdo

XI

4.1.2 FPGA...............................................................................................18

4.1.3 EletrónicadeInstrumentação........................................................20

4.1.3.1 ConversorDigital-Analógico......................................................20

4.1.3.2 ConversorAnalógico-Digital(ADC)...........................................21

4.1.4 AmplificadordePotência...............................................................21

4.1.5 Transdutoremissor........................................................................22

4.1.6 Transdutorhidrofonerecetor........................................................23

4.1.7 FiltroeAmplificadorRecetor.........................................................24

4.2 Software................................................................................................24

4.2.1 Matlab/Simulink.............................................................................24

4.2.2 ISEDesignSuiteEvalution..............................................................25

4.3 Implementação.....................................................................................25

4.4 Modulador.............................................................................................26

4.5 Desmodulador.......................................................................................30

4.5.1 DesmoduladorCanal1MHz...........................................................32

4.5.2 Desmoduladorcanal0.5MHz........................................................35

5. Resultadosediscussão..........................................................................39

5.1 ArquiteturadoSistemadeTeste...........................................................39

5.2 Cenáriodetestes...................................................................................41

5.3 Resultadosexperimentais.....................................................................43

5.4 AnálisesdosResultadosObtidos...........................................................47

6. Conclusões............................................................................................49

7. Referências...........................................................................................51

Listadefiguras

XII

Listadefiguras

Figura1-1-Sistemadecomunicaçãoacústica[4]..........................................................3

Figura2-1-Densidadeespectraldepotênciadoruídoambiente.[12]..........................7

Figura2-2Espalhamentoesférico.................................................................................8

Figura2-3Espalhamentocilíndrico...............................................................................9

Figura2-4Espalhamentodirecional............................................................................10

Figura2-5Absorçãoemáguadosalgada....................................................................12

Figura2-6VelocidadedosomdeacordocomaequaçãodeMackenzie[20]............13

Figura2-7Efeitomulti-percurso[21]..........................................................................14

Figura3-1Modelodeumsistemademodulação.........................................................2

Figura3-2ModulaçãoASK............................................................................................6

Figura3-3ModulaçãoBPSK..........................................................................................7

Figura3-4ModulaçãoFSK.............................................................................................8

Figura3-5ModulaçãoOOK...........................................................................................9

Figura3-6ModulaçãoQPSK........................................................................................10

Figura4-1Diagramadeblocoshardware..................................................................15

Figura4-2Arquiteturadosistema..............................................................................16

Figura4-3EstruturabásicaFPGA................................................................................18

Figura4-4FPGASpartan-6..........................................................................................19

Figura4-5:Placadeinstrumentação...........................................................................20

Listadefiguras

XIII

Figura4-6Amplificadoremissor.................................................................................22

Figura4-7TransdutoremissorPVDF[30][31]............................................................22

Figura4-8Hidrofone...................................................................................................23

Figura4-10ModuladorOOKdedoiscanais................................................................27

Figura4-11Geradordeondasinusoidalde1MHze0.5MHz....................................28

Figura4-12SaídaModuladorOOKdedoiscanaisdedados......................................29

Figura4-13DesmoduladorOOKdedoiscanais..........................................................31

Figura4-14Interfacegráficafiltro..............................................................................32

Figura4-15Filtropassa-alto........................................................................................33

Figura4-16Filtropassa-baixo.....................................................................................34

Figura4-17Filtropassa-baixo.....................................................................................70

Figura4-18Filtropassa-baixo.....................................................................................37

Figura5-1Arquiteturadosistemaemtestesexperimentais......................................40

Figura5-2Aquáriodeteste.........................................................................................41

Figura5-3PicoScope2000.........................................................................................42

Figura5-4Entradadefluxodedadosemodulaçãodosinal......................................43

Figura5-5SinalmoduladoásaídadoDAC..................................................................44

Figura5-6Saídadohidrofone.....................................................................................45

Figura5-7Desmodulaçãodosinal..............................................................................46

Listadetabelas

XIV

Listadetabelas

Tabela2-1-2-Tabeladetaxadedadosconsoanteadistância[4].................................4

Tabela3-3-Correspondênciaentrebitsefase............................................................10

Tabela3-4-Modemsacústicossubaquáticos...............................................................12

Tabela4-1-2-EspecificaçõesFPGASpartan6XC6SLX9................................................49

Tabela4-1-3-Saídadacorrenteanalógica...................................................................21

Listadeacrónimos

XV

Listadeacrónimos

ADC Analog-to-DigitalConverter

AGC AutomaticGainControl

ASK AmplitudeShiftKeying

BASK BinaryAmplitudeShiftKeying

BER BitErrorDate

BFSK BinaryFrequency

BPSF BinaryPhaseShiftKeying

DAC Digital-to-AnalogConverter

DSP DigitalSignalProcessor

FIR FiniteImpulseResponse

FPGA FieldProgrammableGateArray

FSK FrequencyShiftKeing

OOK On-OffKeying

PSK PhaseShiftKeying

QPSK QuadraturePhaseShiftKeying

SSB SingleSideBand

SNR SignaltoNoiseRatio

USB UniversalSerialBus

Introdução

1

1. Introdução

Aideiadetransmitirereceberinformaçãodebaixodeáguaprovémjádotempo

de Leonardo da Vinci quando descobriu que através da extremidade de um tubo

colocadodebaixodeáguasepoderiaescutarnaviosalongasdistâncias.Noentanto,

a grande evolução da comunicação subaquática teve lugar nos EUA durante a

segundaguerramundialcomainvençãodeumtelefonecapazdecomunicarcomos

seussubmarinos[1].EstetelefonefaziausodeumamodulaçãoSSBcomfrequências

entreos8-11kHzepermitiaenviarsinaisacústicosaolongodemuitosquilómetros.

Mais tarde, com o desenvolvimento de circuitos integrados foram criadas

ferramentas de processamento digital de sinal com grande eficiência energética.

Seguidamente surgiram sistemas complexos de processamento de sinal com

algoritmos de compressão de dados. Este avanço tecnológico aumentou

significativamenteaeficáciadascomunicaçõessubaquáticassemfios,queatéentão,

eramexclusivasparafinsmilitareseagoraganhavamespaçonosetorcomercial.

Atualmente os sistemas de comunicação subaquática sem fios são

imprescindíveis para aplicações de controlo remoto em plataformas petrolíferas,

monotorização de poluição em sistemas ambientais, recolha de dados em

localizações inacessíveis para a comunidade científica, comunicações entre

mergulhadores, mapeamento do fundo do oceano para deteção de objetos e

descoberta de novos recursos. Este tipo de tecnologia no sector comercial está a

crescer exponencialmente e existe a necessidade de tornar esta tecnologia mais

competitiva através da redução dos custos dos equipamentos e aumento o seu

desempenho. Esta tarefa não é fácil porque o meio aquático é um meio onde é

bastante difícil de comunicar devido às suas características que dificultam todo o

processamento do sinal envolvido. Entre estas destacam-se o ruído, absorções do

sinal, efeitos multi-percurso e efeito Doppler. Neste sentido, as investigações

realizadas nesta área passam pelo desenvolvimento de comunicações mais

Capítulo1

2

eficientes, com novos algoritmos de processamento de sinal, métodos de acesso

múltiploeimplementaçõesdemodulaçõesmaiseficazes.

1.1 Enquadramentoemotivação

Nos últimos anos os oceanos têm vindo a ganhar cada vez mais importância

juntodetodosospaíses,principalmentenospaísescosteiros.Istoaconteceporque

osrecursosnaturaisexistentessãocadavezmaisescassoseacompetiçãopelasua

buscaeexploraçãoécadavezmaioroqueaumentaconsideravelmenteoseuvalor.

Portugalocupaa11ªposiçãodospaísescommaioráreadeáguasjurisdicionais,

ficandoàfrentedepaísescomoaIndonésiaeChina.Atualmenteaáreajurisdicional

exclusivaportuguesaéaproximadamentede3.9milhõesde𝑘𝑚$oquesignificaque

97%dopaísémar.AimportânciaqueomartematualmenteparaPortugalequivale

a11%doPIBe12%doemprego[2].Omarportuguêséumativocríticonocontexto

económicoenacriaçãodeemprego.

Segundoa EstratégiaNacional paraoMar2013-2020, emPortugal existeuma

vasta área geográfica marítima amplamente inexplorada, dotada de elevados

recursosvivosenãovivos.Entreosrecursosvivosexisteumsectorcompotencialde

crescimento referente ao desenvolvimento de biotecnologia marinha através da

utilização de organismos marinhos em aplicações farmacêuticas, médicas e

cosméticas. Entre os recursos não vivos existe um potencial elevadíssimo na

exploraçãodoshidratosdemetanoondeforamjáconfirmadasváriasocorrênciasa

suleasudoestedePortugalContinental[3].

Por todas as razões enumeradas anteriormente, é necessário investir no

desenvolvimento de tecnologia de forma a acelerar e otimizar o processo do

conhecimento e monitorização da nossa áreamarítima, recolhendo o máximo de

informaçãopossívelsobreosrecursosexistentesafimdeganharanotoriedadeda

comunidade internacional e atrair investidores. No contexto desta dissertação foi

desenvolvidoumsistemacomunicaçãosemfiosqueservedeapoioàmonitorização

Introdução

3

eexploraçãodomeiosubaquático.Omodemacústicodecomunicaçãosubaquática

apresentaaltodesempenhonoquedizrespeitoaodébitodedadoseaoseubaixo

consumo,permitindoestabelecercomunicaçãosemfiosemtemporeal.AFigura1-1

apresentaumdospossíveiscenáriospráticos.Épossívelobservarváriosdispositivos

móveis subaquáticos a comunicar sem fios entre si, com routers atravésdeondas

acústicas.Osroutersservemcomoelodeligaçãoabasesterrestres.Acomunicação

é feita através do ar entre as bases terrestres e routers colocados à superfície

marítimaparaqueacomunicaçãosejamaisrápida(altavelocidadedepropagação).

Posteriormenteosrouterstransmitemainformaçãoaosdispositivosmóveisatravés

deondasacústicas.

Figura1-1-Sistemadecomunicaçãoacústica[4].

Os dispositivos móveis também poderão comunicar entre si através de ondas

acústicas. Istopermitecriarumarededemonotorizaçãoecontrolodedispositivos

subaquáticosmóveiscomamissãoderecolhadedadosdanossacostamarítima.Os

modelos atuais são muito dispendiosos. Uma equipa de pesquisa para fazer o

Capítulo1

4

levantamentodedadosdeumadeterminadaáreatemquesefazeracompanharde

navios especializados, submarinos e mergulhadores. Com omodelo desenvolvido,

esteacompanhamentoseriafeitoàdistânciaeosprincipaiscustosassociadoseram

ainstalaçãoemanutençãodoequipamento.[5]

Esta tecnologia é bastante difícil de implementar devido às características do

meio aquático que degrada e atenua bastante o sinal. Atualmente os sistemas de

comunicaçãosubaquáticasemfiosmaisutilizadossãooacústico,eletromagnéticoe

ótico.Ossistemascomtransmissõesdesinaiseletromagnéticoseóticossãoinviáveis

àaplicaçãodesejadaporquenocasodatecnologiaóticaosinalébastanteafetado

pelo espalhamento apesar de não sofrer muita atenuação; já a tecnologia

eletromagnética opera a frequências demasiado baixas e requer antenas grandes

comelevadaspotênciasde transmissão.Ambasas tecnologias funcionambastante

bem em curtas distâncias, mas a grandes distâncias, que é o objetivo desejado,

revelam-seineficazes.

A comunicação subaquática sem fios atravésde sinais acústicosé a tecnologia

mais utilizada neste tipo de ambientes pois é a única que consegue comunicar a

longas distâncias (até 20 km) em águas profundas com condições térmicas

estáveis[6].Estatecnologiatambémapresentaassuas limitações,comoareduzida

velocidade de propagação acústica na água (cerca de 1500m/s), ruído ambiente,

atrasodepropagação,oefeitoDoppler,efeitomulti-percursoebolhas.

Otipodemodulaçãousadanossistemasdecomunicaçãosubaquáticaacústicaé

bastante importante porque para além de ajudar a ultrapassar grande parte das

limitaçõesreferidasanteriormente(efeitoDoppler,ruídoemulti-percurso),aumenta

tambémodébitobinário,acapacidadeeaeficiênciadosistema.Oesforçoquetem

sidofeitoaolongodestesúltimosparaotimizarestatecnologiatemtidoresultados

fantásticos, mas ainda existem algumas limitações ao nível da eficiência da

transmissãodedadosparaaplicaçõesemtemporeal.

Introdução

5

1.2 Objetivos

O modem de comunicação acústica subaquática que se encontra em

desenvolvimento é a consequência de vários projetos anteriores.O objetivo desta

dissertaçãoédarcontinuidadeaesteprojeto,aumentandoarobustezeaeficiência

domodem.Paraquesejapossívelutilizarestemodememaplicaçõesdetemporeal,

énecessáriogarantirelevadosdébitosdedados,aumentaracapacidadedosistema

easuarobustezcontraerros.Nestecontexto,surgiuanecessidadedesenvolverum

esquema de modulação/desmodulação para cumprir todas as exigências

enumeradasacima.Assim,seráimplementandooesquemademodelaçãoOOK(On

Off Keying) com dois canais de dados e ondas portadoras de 1 MHz e 0.5 MHz

respetivamente. Inicialmente será feito o estudo das características do meio

aquático, como o efeito da absorção, multipercurso e Doppler, pesquisa sobre

sistemasdemodulaçãoexistenteseobtençãodeumconhecimentosólidodetudo

aquiloquejáfoifeitonesteprojetoeassuaslimitações.

Numa fase posterior serão realizados testes em ambientes reais e simulações

comrecursoaferramentasdesoftware,quepermitamotimizarosistemaepoupar

tempoerecursosnostestesemambientereais.

1.3 Estruturadadissertação

Estedocumentoencontra-seestruturadoem7capítulos:

• Capítulo1,Introdução,

• Capítulo2,Estadodaarte,

• Capítulo3,Modulaçõesexistentes,

• Capítulo4,Arquiteturadosistema,

• Capítulo5,Resultadosediscussão,

• Capítulo6,Conclusões.

Capítulo1

6

Estadodaarte

2

2. Estadodaarte

As tecnologias que permitem comunicar sem fios debaixo de água estão a

crescer exponencialmente no sector comercial. Existem inúmeras atividades e

diferentes aplicações para estas tecnologias. O aumento da sua procura levou os

engenheiros a investigarem sobre novos métodos de transmissão de sinal

subaquáticosemfios.Atualmenteossistemasdecomunicaçãosemfiosquemaisse

destacamsãoos sistemasde comunicaçãoótica, eletromagnéticaeacústica. Estes

sistemas têm características únicas que lhe conferem diferentes propósitos. De

seguida,serãoanalisadostodosestessistemaseassuascaracterísticas.

2.1.1 Sistemadecomunicaçãoótica

Atecnologiadecomunicaçãoóticasubaquáticasemfiostemevoluídonosentido

dedesenvolveraplicaçõesdeobservaçãosubmarinaesistemasdemonitorizaçãodo

mar.Estatecnologiadecomunicaçãopoderádesempenharumpapeldedestaquena

investigaçãodemudançasclimáticas,previsãodedesastresnaturaisenadescoberta

de recursos. Embora a comunicação subaquática através de ondas acústicas seja

bastante utilizada neste ambiente devido às grandes distâncias que é possível

atingir,estatecnologiaépoucoeficazaaltasvelocidades.Acomunicaçãoóticasem

fiostemsidopropostacomoamelhoralternativa,afimdesuperaraslimitaçõesda

comunicação acústica. Assim sendo, em curtas distâncias e apesar de a luz ser

dispersada e absorvida pela água, a comunicação ótica pode ser uma solução

alternativamuito fiável. Com a utilização de díodos emissores de luz e de díodos

laserquesãocomponentesfiáveiserelativamentebaratos,foipossívelestabelecer

comunicaçõesomnidirecionaisnaordemdos10Mbpse1Gbps[7][8][9].

Capítulo2

3

2.1.2 Sistemadecomunicaçãoporondaseletromagnéticas

As comunicações através de sinais eletromagnéticos subaquáticos foram

investigadas desde os primeiros dias do rádio, e só voltou a receber atenção

considerávelduranteadécadade1970.Naverdade,aúnicaaplicaçãoimplementada

comêxito foio sistemade comunicação subaquáticade frequênciaextremamente

baixa.Estesistemaoperadoa76Hznosistemanorte-americanoe82Hznosistema

russopermitiuatransmissãodealgunscaracteresporminutoadistânciasnaordem

dosmilharesdequilómetros.[10]

As ondas eletromagnéticas são caracterizadas principalmente por quatro

parâmetros:permeabilidade,permissividade,condutividadeevolumededensidade

decarga.Estesfatoresinfluenciambastanteapropagaçãoeletromagnéticanaágua

porque esta é caracterizada pela alta permissividade e condutividade elétrica. A

atenuação tambéméelevadacomparadacomoareaumenta rapidamentecoma

frequência.

Aspossíveisaplicaçõesparaascomunicaçõesatravésdesinaiseletromagnéticosem

ambientes subaquáticos são as comunicações de curto alcance (<100m) com

velocidadesdecomunicaçõesrelativamentealtas[11].

NaTabela2-1-2resume-searelaçãoentreoalcanceeodébitoparasistemasde

comunicaçãosubaquáticosporondaseletromagnéticas.

Estadodaarte

4

Tabela2-1-2débitobinárioemfunçãodadistância[4].

2.1.3 Sistemadecomunicaçãoacústica

Nos últimos anos a comunicação acústica subaquática tem recebido muita

atenção e as suas aplicações, que até agora eram maioritariamente militares,

começaram a convergir na direção das aplicações comerciais. O investimento

financeiroetecnológiconestaáreatemcrescidosubstancialmentenosúltimosanos.

Este investimento justifica-se pela eficácia da comunicação acústica, sobretudo a

longasdistânciasemrelaçãoàsdemais.

Oprocessoquepermitegerarultrassonsbaseia-seemmateriaispiezoelétricos.

Estematerialconverteossinaiselétricosemvibraçõescriandoassimondassonoras.

Oprocessoinversotambémépossível,ouseja,receberondassonoraseconvertê-las

em sinais elétricos. O grande problema destas tecnologias é a dificuldade de

comunicar nomeio aquático. A propagação do sinal é afetada por efeitos como a

refração, absorção e o espalhamento sobre a água, mas a atenuação do sinal, à

semelhança das tecnologias descritas anteriormente, é o maior obstáculo. A

atenuaçãosignificaaperdagradualda intensidadedosinalàmedidaquesemove

sobre ummeio. Nos sistemas de comunicação acústicos a atenuação dos sinais é

Alcance <1m 10m 50m 200m 2km 10km

Em

oceano

Acimados

100Mbps

100kbps 5kbps 100bps 10bps 1bps

Emágua

doce

Acimados

100Mbps

1Mbps 100kbps 1kbps 10bps 1bps

Capítulo2

5

muitomaiornaáguadoquenoar.Tambémexistemproblemascomoaselevadas

reflexõesdosinalnaágua.

O caminho a seguir para combater todas estas barreiras e alcançar débitos

binárioselevadospassapelaotimizaçãodealgoritmosdeprocessamentodesinalea

implementaçãodemodulaçõescomesquemasdecodificaçãomaiseficazes.

2.1.4 Sumáriodossistemasdecomunicaçãosubaquáticos

Nos capítulos anteriores foramabordadas as características dos três principais

sistemasdecomunicaçãosubaquática.Cadasistemaapresentaassuasvantagense

desvantagens que lhes conferem características únicas.Não se podedistinguir um

sistemacomoomelhordependedocenáriodeaplicação.Ocusto,acomplexidade

do sistema, os consumos, as distâncias de comunicação e as características do

própriomeioaquáticosãoosprincipaisfatoresquepodeminfluenciaraescolhade

cadatecnologia.

2.2 Característicasdocanal

Acomunicaçãoacústica subaquática temumagrandevariedadedeaplicações.

Entre elas encontram-se a recolha de dados oceânicos, controlo sobre veículos

submarinos ou até mesmo a exploração oceânica por parte da indústria

farmacêuticaoupetrolífera.Estasaplicaçõesrequeremcomunicaçõeseficazescom

altosdébitosequeoperementregrandesdistâncias.Estesobjetivossãodifíceisde

atingir devido às características do canal aquático como o ruído, a elevada

atenuação,oefeitomulti-percursoeoefeitoDoppler.

2.2.1 EfeitoDoppler

OefeitoDopplerconsistenodesvioda frequênciado sinal recebidodevidoao

movimento das estruturas subaquáticas. Este desvio provoca imensas dificuldades

Estadodaarte

6

nacomunicaçãoprincipalmenteemmodelosquesebaseiamnafrequênciadosinal

comométododedescodificaçãoeinterpretaçãodomesmo.

Este desvio pode ser definido como a razão entre a velocidade da fonte

relativamenteàvelocidadedepropagaçãodaondanaágua,∆= ±()±(

.Traduz-seentão

numdesvionafrequênciadosinalcomo:

𝑤, = 𝑤,- 1 + ∆ 𝑟𝑎𝑑/𝑠,

Onde:

𝑤,-=Frequênciaoriginal(rad/s)

𝑤,=FrequênciaalteradapeloefeitodeDoppler(rad/s)

Noentanto,seestasestruturassemoveremaumavelocidadeinferiora2m/s,

asconsequênciasdevariaçãodefrequênciadossinaisrecebidosdevidoaoefeitode

Dopplernãosãorelevantesparaosprocessosdedeteçãoacústica[12].

2.2.2 Ruídoambiente

Apropagaçãodo sinal acústico émuito eficaz a baixas frequências, contudo a

sualarguradebandaéextremamentelimitada.

O ruído é um dos fatores que mais influência a comunicação acústica

subaquática.Acaracterísticadoruídodependedecadaambienteaquáticoefatores

externosaesseambientecomoporexemplo,apassagemdeumnavioouogeloa

quebrar-se.Tudoistoprovocaruídos.Oruídodoambienteprovémdefontescomoa

turbulênciadaágua,arebentaçãodeondaseachuva.

A Figura2-1mostraadensidadeespectraldepotenciadoruídoambiente

paradiversosvaloresdevelocidadedovento(oventoinfluênciaocomportamento

dasondasdomar)eváriosníveisdeatividadedenavios(sãoquantificadosnumana

escalade0a1).Adensidadeespectraldepotênciadoruídoambientedecaiauma

taxadecercade18dB/décadaeérepresentadapelalinharetatracejada[13].

Capítulo2

7

Figura2-1-Densidadeespectraldepotênciadoruídoambiente[12].

2.2.3 Atenuação

Aatenuaçãoconsistenumareduçãodapotênciadosinalao longodomeiode

transmissão e aumenta com a distância e frequência. Nomeio subaquático, se os

níveis de atenuação acústica forem relativamente baixos, podem-se atingir

comunicaçõesnaordemdedezenasdequilómetros[15].

Assim sendo é importante perceber os fatores que provocam a atenuação do

sinalacústicosubaquáticodeformaapoder-seestudareestipularumalcanceque

permitaaoemissorerecetorestabeleceremumacomunicaçãoeficaz.Aatenuaçãoé

caracterizada principalmente por três fatores: spreading loss, absorption loss e

scatteringloss.

Estadodaarte

8

Contudo,existemoutrosfatoresquetambématenuamosinalemboradeuma

formamaisreduzida.

2.2.4 Spreadingloss

Spreading lossouperdaporespalhamento,éaperdadeenergiaquesofreum

sinalquandosepropagaporumaáreacadavezmaior.Estaperdadeenergiacresce

comoaumentodaáreaqueosinalatravessa.Existemtrêstiposdeespalhamento:

esférico, cilíndrico e direcional. Neste trabalho será analisado commais detalhe o

espalhamentodirecional, umavezque foi oúnico a serusadona fasede testes e

simulações.Aseguir,serãoestudadasassuascaracterísticasassimcomooscálculos

paradeterminaraperdaportransmissãoexpressaemdecibéisdetodosostiposde

espalhamentos.

2.2.4.1 Espalhamentoesférico

Espalhamentoesférico,ocorrequandoafonteseencontranomeiodooceanoe

transmiteumsinalqueédistribuídouniformementesobretodasasdireções,comoé

demonstradonaFigura2-2.

A perda por transmissão pode ser expressa em Decibéis através da seguinte

equação:

Figura2-2Espalhamentoesférico

Capítulo2

9

𝑇𝐿 = −10𝐿𝑜𝑔<=>>?

= 10𝐿𝑜𝑔<= 𝑟$ = 20𝐿𝑜𝑔<=(r)dB

Onde 𝐼= é a intensidade acústica referente à distância 𝑟= e 𝐼 corresponde à

intensidadeacústicareferenteàdistânciar.

2.2.4.2 Espalhamentocilíndrico

Noespalhamentocilíndrico,osomnãosepropagauniformementeemtodaas

direções porque é limitado pela superfície e o fundo do oceano. Isto acontece

quando a fonte de transmissão se encontra num meio aquático com pouca

profundidade.Embaixo,naFigura2-3pode-seobservarqueaondaacústicacomeça

porespalhar-sesobaformaesféricaatéaatingirasuperfícieeofundodooceano.

Quando a onda acústica atinge a superfície e o fundo oceânico, esta começa

gradualmente a espalhar-se sob a forma cilíndrica com o som a propagar-se

horizontalmenteemrelaçãoàfontedetransmissão.

A perda por transmissão pode ser expressa em decibéis através da seguinte

equação:

𝑇𝐿 = 10𝐿𝑜𝑔<=𝐼𝐼=

= 10𝐿𝑜𝑔<= 𝑟 𝑑𝐵

Figura2-3Espalhamentocilíndrico

Estadodaarte

10

Onde 𝐼= é a intensidade acústica referente à distância 𝑟= e 𝐼corresponde à

intensidadeacústicareferenteàdistânciar.

2.2.4.3 Espalhamentodirecional

O espalhamento direcional acontece em situações em que a fonte de

transmissão emite somente um sinal numa determinada direção ao contrário do

espalhamentocilíndricoeesféricoqueépropagadoemtodasasdireções.Quando

isto acontece, a onda sonora é propagada sob a forma de um feixe com um

determinadoângulo.AFigura2-4mostraumexemplodoespalhamentodirecional.

Para se calcular a perda por transmissão é necessário de calcular o valor do

ângulodedivergênciadofeixe(δ)queédadopor[16]:

𝛿 = 2arcsin(𝜆𝐷)

Onde,Déodiâmetrodotransdutore𝜆éocomprimentodeonda.

Aperdaportransmissãoéexpressaatravésdaseguinteequação:

Figura2-4Espalhamentodirecional.

Capítulo2

11

𝑇𝐵 = 10𝐿𝑜𝑔𝐼=𝐼 = 10𝐿𝑜𝑔(

𝛿𝑟$

𝜋𝑟=$)

Onde TB é expresso em dB, 𝐼= é a intensidade acústica referente à distância 𝑟= e

𝐼correspondeàintensidadeacústicareferenteàdistânciar.

2.2.5 Absorptionloss

Quandoumaondaacústicasepropaganomeiosubaquáticoéinevitávelocorrer

umaperdagradualdosinalporabsorção.Aperdaporabsorçãosignificaaconversão

deenergiaacústicaemcalordevidoàviscosidade,areaçõesquímicasqueenvolvem

iõesnaáguadomareàcondutividade térmica [17].Estaperdapodeserexpressa

emdecibéisatravésdaequaçãodeAinslie&McColm,querelacionaatemperatura,

a profundidade, as reações químicas do ácido bórico e sulfato de magnésio e

finalmenteaacidezdaágua(pH)[18].

𝑎 𝑓 =0.106 𝑓<𝑓$ 𝑒 RSTU =.VW

𝑓<$𝑓$+0.52 1 + 𝑇

43𝑆35 𝑓$𝑓$ 𝑒

T\W

𝑓$$𝑓$

+ 0.00049𝑓$𝑒T^$_`

\<_

𝑇 representaovalordatemperaturaemgrausCelsius,Ssimbolizaasalinidade

em ppt, D é a profundidade em metros, pH é acidez da água, f1 e f2 são as

frequênciasintroduzidaspeloacidobóricoeosulfatodemagnésiorespetivamente.

Deumaformamaisespecífica,oefeitodaviscosidadeésignificativoacimados

100kHz;jáoefeitodasreaçõesiónicassãounicamentesentidasentreos10kHzaté

os 100 kHz. De uma forma geral o coeficiente de absorção𝛼 aumenta com o

aumentodefrequênciaedecrescecomoaumentodaprofundidade[19].

Ocoeficientedeabsorçãoé tambémsignificativamentemaiornaáguadomar

relativamenteàáguadocedevidoàsreaçõesiónicas.

Estadodaarte

12

Figura2-1-absorçãoemáguadomar[29]

AFigura2-5mostrasegundoomodelodeAinslie&McColm,acontribuiçãodas

diferentesfontesdeabsorçãoemfunçãodafrequência.

2.2.6 Atrasodepropagação

Avelocidadetípicadeondasacústicaspertodasuperfíciedooceanoécercade

1500m/s, mais de quatro vezes mais rápido que a velocidade do som no ar. No

entanto, a velocidade do som no meio subaquático é bastante afetada pela

temperatura,profundidadeesalinidade.Estesparâmetrossãovariáveisediferemde

lugarparalugarnaágua,logoavelocidadetambémévariável[20].

Avelocidadedosomnaágua𝜈 podesercalculadadeacordocomaequaçãode

Mackenzie[21].

Figura2-5Absorçãoemáguadosalgada.

Capítulo2

13

𝑣 = 1448.96 + 4.591T − 5.304x10T$𝑇$ + 2.374x10Th𝑇i + 1.340(S − 35)

+ 1.630x10T$D + 1.675x10T_𝐷$ − 1.025x10T$T(S − 35)

− 7.139x10T<i𝑇𝐷i

Onde:

T,D e S correspondem à Temperatura (2-30l𝐶), Profundidade (0-8000m) e

Salinidade(25-40PPT),respetivamente.

AFigura2-6representaumestudorealizadosobreoperfildavelocidadedosom

até200mdeprofundidade.Épossívelverificarqueaprofundidadeeatemperatura

têmmuitainfluênciasobreavelocidadedosomnomeioaquático.

Figura2-6VelocidadedosomdeacordocomaequaçãodeMackenzie[20].

2.2.7 Multi-percurso

O efeito multi-percurso é um problema bastante comum na comunicação

acústicasubaquática.Quandoumsinalétransmitido,váriasréplicasdessesinalirão

atingir o recetor através de diferentes caminhos, com diferentes atenuações e

atrasos. Este efeito resulta na interferência inter-simbólica e tem origem em dois

Estadodaarte

14

acontecimentos: a reflexão e a refração do som no oceano. A reflexão acontece

quandoasondasatingemasuperfície,ofundoouequalquerobjetoqueestejano

seucaminho.Normalmenteesteefeitoestámaisassociadoaambientescomáguas

rasas. A refração das ondas acústicas estámais relacionada comáguas profundas,

ondeavelocidadedosomvariacomaprofundidade[22].

Destaformaosinaltransmitidovaichegaraorecetorcomumasériedeecos,e

quantomaiorforonúmerodereflexõesmenorvaiseraamplitudedecadaumdeles

[23].Emtermospráticos,esteefeitovaicriarproblemasnoprocessamentodosinal

nodomíniodostemposeodesempenhodosistemapodeserbastanteafetado.Nos

melhores dos cenários podem-se ter dezenas de multi-percursos ou centenas no

casodelongasdistâncias.

A Figura 2-7 representa o efeito multi-percurso no domínio dos tempos. O

cenário tem as seguintes características: profundidade da água igual a 90 m,

distânciahorizontal1000m,profundidadedotransmissorde15m,profundidadedo

recetor83m.

Figura2-7Efeitomulti-percurso[21].

Capítulo2

15

ÉdenotarqueasondascommenosreflecçõesnomeadamenteaAeBchegam

ao recetor commenos atenuação. O grau das reflecções também influenciam os

caminhosa serempercorridospor cadaonda, variandoo tempode receção como

por exemplooprimeiro grupoquedemora cercade4mseo segundogrupoque

chega20msmaistarde.

2.2.8 Bolhas

Asbolhasgeradaspelorebentamentodasondasnasuperfíciedomartêmuma

grande influêncianapropagaçãodasondas acústicasde alta frequência, tantoem

locaiscosteiroscomoemaltomar.Ascamadasdebolhasjuntoàsuperfíciepodem

provocaratenuaçõessignificativasdossinaisdispersosporestazona[14].Masnão

existemsomentebolhasnanatureza,ostransdutorespodemtambémcriarbolhase

apesardenãoseremtãosignificativaspodeminfluenciaroprocessamentodosinal

acústico.

Experiências realizadas sobrea influênciadasbolhasnumsinalacústicoauma

frequênciade30Hz,revelaramumaatenuaçãodecercade3dBs[24].

TécnicasdemodulaçãoDigital

2

3. Técnicasdemodulaçãodigital

A comunicação é o processo de transmissão de dados através do espaço e

tempo.Ainformaçãotransmitidapodeservídeo,imagem,áudioouqualqueroutra

informaçãoquepossa serdigitalizadae enviada soba formade sinais elétricos.O

desafio que se vive atualmente na era das comunicações é a investigação e

desenvolvimento de sistemas capazes de ultrapassar problemas como congestão

espectral, interferênciasouruídosdoscanaisdecomunicaçãoe,aomesmotempo,

garantir elevados débitos binários de forma segura e eficaz. Isto é um processo

extremamente complexoqueenvolve a criaçãodenovas técnicasde codificação r

modulação[25].

A modulação, assume desta forma um papel crucial nas comunicações.

Modularéamodificaçãodeumsinaleletromagnéticoinicialmentegerado,antesde

ser radiado, para que este transporte informação sob uma onda portadora.

Normalmente,sobrepõe-seumaondaportadoraaosinalquesepretendetransmitir,

comopodeservistonaFigura3-1.

Assim,atravésdautilizaçãodeumaondaportadoraquegeralmenteéumaonda

sinusoidal de alta frequência, obtêm-se as propriedades mais adequadas do sinal

paraoscanaisdetransmissão.Nestepropósito,seavariaçãodaondaportadoraé

contínuanodomíniodotempo,tem-seumamodulaçãoanalógica,casosejadiscreta

tem-seumamodulaçãodigital.

Figura3-1Modelodeumsistemademodulação.

Capítulo3

3

Éaindaimportantereferirquegeralmenteaondaportadoraéumasinusoidede

acordocomaseguinteexpressão:

𝑉) 𝑡 = 𝐶𝑜𝑠(2𝜋𝑓)𝑡 + 𝜙)

Ondeosparâmetros𝐴,𝑓) e𝜙podemvariarcomopropósitodastransmissãode

dados,sendoelesaamplitude,afrequênciaeafasedamodulaçãorespetivamente.

Independentementedamodulaçãoescolhidaseranalógicaoudigital,ésempre

necessário reverter todo este processo para que o recetor possa recuperar a

informaçãodosinaloriginal,esteprocessodesigna-sepordesmodulação.

Ainda neste capítulo serão estudadas as diferentes técnicas de modulação que

existem para os sistemas de comunicação analógico e digital. Além disso, serão

discutidasassuasvantagensedesvantagenseasdevidascomparaçõesentreelas.

3.1 Comunicaçãoanalógicaversusdigital

Quandose iniciaramascomunicaçõessemfios,acomunicaçãoanalógicaeraa

tecnologia predominante. Atualmente, os sistemas de rádio ainda funcionam com

modulaçãoanalógica,contudoatecnologiadigitalganhoumaiornotoriedadecomos

seus progressos, conferindo cada vez mais vantagens em relação à tecnologia

analógica.

Pode-se também afirmar, que o facto de a comunicação analógica existir há

tanto tempo, levou à sua estagnação em termos de inovação. Ao invés, as

plataformasdigitaistêmvindoaevoluirexponencialmentenosseusdesempenhose

aplicações, nomeadamente no setor das telecomunicações. Grande parte dos

sistemasutilizadosatualmentesãodigitaiseoimpactofinanceiroeeconómiconeste

setor é elevadíssimo. A escolha entre estes dois tipos de comunicações face às

necessidadesdeumsistemanãoé fácil,acomunicaçãoanalógicatemumpassado

histórico muito forte e longo o suficiente para que as suas funcionalidades e

característicasfossemmelhoradas.Asuaprincipalvantageméquesefornecessário

implementarumsistemadecomunicaçãoanalógicapararealizarumadeterminada

TécnicasdemodulaçãoDigital

4

tarefa, émuito provável que a solução já se encontre disponível nomercado. Por

outrolado,acomunicaçãodigitalconfereumlequebastanteelevadodevantagens

sobre a comunicação analógica no setor das telecomunicações, sendo por isso a

eleitaaserusadanestetrabalho.

3.2 Vantagensdacomunicaçãodigital

Ossistemasdecomunicaçãodigitaisrepresentamumaumentonacomplexidade

em relação aos sistemas de comunicação analógicos. Por isso, em baixo serão

apresentadas algumas das razões que levaram à substituição dos sistemas de

comunicaçãoanalógicospelossistemascomunicaçãodigitais.

• Simplesebaratos

Devido aos avanços nas tecnologias de circuitos integrados digitais e

computadoresdealtavelocidade,ossistemasdecomunicaçãodigitaissãomais

simples de construir e apresentamum customais baixo.Nomercado existem

muitassoluçõesdesistemasnumchipquepodemserfacilmenteintegradasem

novosprojetos,sendopossívelreprogramarhardwareeatualizarosistema,sem

qualquercusto.

• Maisseguro

Comutilizaçãodaencriptaçãodedados,apenasos recetoresadmitidossão

autorizados a detetar os dados transmitidos. É muito útil em aplicações

militares.

• MaioralcanceOs sinais conseguem atingir um maior alcance desde que os dados sejam

convertidosemformatodigital.

Capítulo3

5

• CapacidadedemultiplexagemUsandomultiplexagem, os dados de áudio, vídeo e até outros, podem ser

incorporadosetransmitidosatravésdomesmocanal.

• MaiortolerânciaaoruídoNaamplificaçãodosinal,oruídonãoéamplificado.

• DeteçãoecorreçãodeerrosComautilizaçãodacodificaçãodocanal,épossíveldetetarecorrigiroserros

nosrecetores.Resultandonumamelhoriadataxaglobaldeerrodebit.

3.2.1 DesvantagensdaComunicaçãoDigital

Apesar da comunicação digital ser uma tecnologia apontada como bastante

superior à comunicação analógica, devido às suas inúmeras vantagens, existem

algumasdesvantagensquepodemporemcausaasuaescolha.Asdesvantagenssão:

• Eficiênciaenergética

A eficiência energética é a capacidade de preservar com fidelidade uma

mensagem digital a baixos níveis de energia. O problema é que para

combateroruído,énecessárioaumentarapotênciadosinal.

• Eficiênciadelarguradebanda

Aeficiênciade larguradebandarefere-seàeficiênciacomquea largura

debandaalocadaéusada.Oaumentodataxadedadosimplicaareduçãodo

pulsodecadasímbolodigital,queprovocaoaumentodalarguradebandado

sinal.

• Compensações

Seadicionarmoscódigosdecontrolodeerros,reduzaeficiênciadalargura

debanda,masaumentaaeficiênciaenergética.

TécnicasdemodulaçãoDigital

6

• Custoecomplexidadedosrecetores.

O custo e a complexidade dos recetores em relação à comunicação

digitalsãomaiores.

3.3 Técnicasdemodulaçãodigital

3.3.1 ASK

Neste tipo demodulação a amplitude do sinal varia com a sequência binária,

mantendoasua faseea frequência inalteradas.Quandoumbité“1”aamplitude

aumentaparaumvalorespecífico,quandoobité“0”aamplitudedosinaldecresce

para outro valor. Na Figura 3-2 pode-se observar o resultado desta técnica de

modulação.

Avantagemeadesvantagemdestamodulaçãosão:

• Vantagem:

Figura3-2ModulaçãoASK.

Capítulo3

7

o Simplicidade.

• Desvantagem:

o Muitosuscetívelainterferênciaderuído.

3.3.2 BPSK

Na modulação BPSK, o sinal transmitido é uma sinusoide de amplitude e

frequênciafixa.Estesinaltemumafasefixaquandoobitdedadosé“1”,quandoo

bit de dados é “0”, a fase é alterada em 180 graus. A Figura 3-3 representa o

resultadodamodulaçãoBPSK.

Asvantagensedesvantagenssão:

• Vantagens:

o AmodulaçãoBPSKémenossuscetívelaerrosdoqueamodulação

ASKerequeramesmalarguradebanda.

o É mais eficiente no uso da largura de banda em relação à

modulaçãoFSK.

• Desvantagens:

Figura3-3ModulaçãoBPSK.

TécnicasdemodulaçãoDigital

8

o Os processos de recuperação e deteção de sinal são mais

complexos.

3.3.3 FSK

Nestatécnicademodulação,oprincípioévariarafrequênciaconsoanteovalor

binário.Quandoseencontranasequênciabináriaumbita“1”,afrequênciadosinal

tem um determinado valor, quando o bitmuda para “0” a frequênciamuda para

outrovalorespecífico.Oresultadodestatécnicademodulaçãopodeserobservado

naFigura3-4.

Figura3-4ModulaçãoFSK.

Capítulo3

9

Asvantagensedesvantagensdestamodulaçãosão:

• Vantagens:

o AmodulaçãoFSKémenossuscetívelaerrosdoqueamodulação

ASK,umavezqueorecetorprocuraumaalteraçãodefrequência

sobreintervalosdetempo,permitequeoruídosejaeliminado.

• Desvantagens:

o O espectro da modulação FSK é duas vezes maior que o da

modulaçãoASK.

3.3.4 OOK

EstatécnicademodulaçãoéumcasoparticulardatécnicademodulaçãoASK.A

diferença é que quando o bit “0” é transmitido a amplitude fica a zero no sinal

modulado. Na Figura 3-5 pode ser observado o resultado desta técnica de

modulação.

Figura3-5ModulaçãoOOK.

TécnicasdemodulaçãoDigital

10

Asvantagensedesvantagensdestatécnicasão:

• Vantagens:

o Esta técnica permite ao transmissor ficar inativo durante a

transmissãodobit“0”,aumentandoapoupançadeenergia.

o Simplicidadeecustodeimplementação.

• Desvantagens:

o Muito sensível a ruído e interferências pois um sinal indesejado

podeserdetetadocomoumfalsobita“1”.

3.3.5 QPSK

O princípio da técnica de modulação QPSK é o mesmo que a técnica de

modulação PSK, a fase do sinal varia consoante a sequência de bits que entra. A

únicadiferençaéqueafasevaria90graus,aocontráriodos180grausdodatécnica

PSK,oquepossibilitacodificar2bitporintervalo,ouseja,sãogeradosquatrosinais

diferentesquerepresentam2bitscadaumdeles(tabela3-3).NaFigura3-6pode-se

observarumexemplodestamodulação.

Figura3-6ModulaçãoQPSK.

Capítulo3

11

Tabela3-3Correspondênciaentrebitsefase.

3.4 Sistemasexistentes

O foco desta dissertação tem como base o desenvolvimento de ummodem

acústico subaquático. Neste contexto, é importante saber que produtos existem

disponíveisnomercado,quaisassuasespecificaçõeseosseuscustos.NaTabela3-4

apresentam-se as características mais importantes de vários produtos

comercializadosdesdeocusto,alarguradebanda,atécnicademodulaçãoutilizada,

adistância,aprofundidade,apotênciadetransmissãoeapotênciadereceção.

Bits Fase

00 0

01 90

10 180

11 270

TécnicasdemodulaçãoDigital

12

Tabela3-4-Modemsacústicossubaquáticos

LInkQUest

UWM2200

WHOIMicro-

ModemAquamodem

Benthos903

SériesATM-

903

Taxade

transmissão

dedados

19200-38400

bps300-5400bps 300-2000bps

2560-15,360

bps

Largurade

Banda

54.55-89.25

kHz25kHz 7.5-12kHz

9-14kHz

16-21kHz

22-27kHz

Técnicade

modulaçãoNãofornecido FSKePSK FSKeDPSK PSKeMFSK

Distância 1km Até4km até20km 2-6km

Profundidade 1kmou2km 500m 1km200m,500m

ou6km

Potênciade

transmissão6W 10-100W 20W 2a20W

Potênciade

receção1W 158mW-2W 0.6W 720mW

Custo 5812€ 2905€

Nãoestáaser

comercializado

demomento.

4359€

Capítulo3

13

Existem investigadores como Wills [26] e Nam [27] que apostaram no

desenvolvimento de modems menos complexos. No caso de Wills, o modem é

baseado numa técnica de modulação FSK; já Nam baseou-se na técnica de

modulação ASK. Ambos os sistemas apresentam baixos consumos energéticos e

elevadosdébitosbinários.Contudograndepartedos trabalhosexistentesainda se

encontraemdesenvolvimentoouemfasedetestes.

Uma das grandes motivações desta dissertação advém no sentido desta

tecnologia de comunicação subaquática ainda se encontrar numa fase muito

prematura em termos de desenvolvimento e com informação pouco disponível.

Assim,espera-sedarmaisumcontributoparaacomunidadecientíficaecriaruma

oportunidadeparaacomercializaçãodeumprodutoquevisaajudaramonitorização

eexploraçãosubaquáticadetodaáreaeconómicamarítimaportuguesa.

ArquiteturadoSistema

14

4. Arquiteturadosistema

Esta dissertação é o seguimento de outras envolvidas no projeto domodem

acústicosubaquático.Paraquesejapossívelatingirosobjetivosdestadissertaçãoé

necessário conhecer todo o trabalho desenvolvido até agora. Este capítulo será

divido em duas partes, as secções hardware e software. Na secção hardware é

abordadotudooquefoifeitoatéagora,desdeasdecisõestécnicas,acaracterização

detodososcomponentesenvolvidose,finalmente,aestruturadosistemadividida

em blocos. A secção software aborda todas as ferramentas utilizadas para a

implementaçãodomodulador/desmodulador.

4.1 Hardware

Omodemacústicoéumsistemaconstituídopordoismódulosinterligadosentre

si:omódulodecomunicaçãoeomóduloacústico.

Omódulodecomunicaçãoéumcomputadorpessoalqueinteragecomomódulo

acústico sob a formadeemissorou recetordedados. Em casosparticularespode

exercerumacomunicaçãobilateralousejarecebereenviardados.

Omóduloacústicoédivididoemdois:ummóduloresponsávelpelamodulaçãoe

transmissãodedadosparaomeioaquáticosobaformadeumsinalacústico,eoutro

móduloresponsávelpelareceçãoedesmodulaçãodessesinalacústico.Énomódulo

acústicoqueseencontramtodososcomponenteseletrónicos,quesãodivididosem

blocoseserãoestudadoscommaisdetalhenasecção“Arquiteturadosistema”.Na

Figura4-1épossívelobservarodiagramadeblocosdehardware.

Capítulo4

15

Figura4-1Diagramadeblocoshardware.

4.1.1 Arquiteturadosistema

Para uma melhor compreensão do sistema de comunicação acústico

subaquático é necessário analisar commais detalhe o módulo acústico e os seus

componenteseletrónicos.Deseguida,comoapoiodaFigura4-2,seráexplicado,de

uma forma geral, a arquitetura e funcionamento do sistema. Ainda neste capítulo

será analisado individualmente cada componente eletrónico do módulo acústico

devidoasuacomplexidade.

ArquiteturadoSistema

16

Figura4-2Arquiteturadosistema.

Oprocessodetransmissãodosinalparaomeioaquáticoéefetuadodaseguinte

forma:umcomputadorpessoalenviadadossobaformadeumsinalelétricoparao

móduloacústico.Este sinalelétricoéprocessadoemoduladodigitalmenteatravés

deumaFPGA.De seguidaumconversordigital - analógico (DAC) converteo sinal.

Antes de transmitir o sinal para o meio aquático, através de um transdutor, é

necessárioamplificarosinalparaqueatinjaasdistânciasdesejadas.sendoparatal

usado um amplificador de potência. O transdutor, através das suas vibrações,

transforma o sinal elétrico em ondas acústicas que são emitidas para o meio

aquático.

Para receber as ondas acústicas propagadas no meio aquático é usado um

hidrofone.Contudo,énecessárioaplicarumfiltropassa-banda(BPF)comumganho

Capítulo4

17

associado,parafiltrarasfrequências indesejadaseamplificarosinal.Paraqueseja

possível desmodular o sinal através da FPGA, este não pode chegar no formato

analógico,sendoporissousadoumconversorADCparaconverterosinalanalógico

emdigital.Depoisdeconverterosinalnoformatodigital,esteédesmoduladopela

FPGA e, uma vez recuperados os dados originais, estes são enviados para um

computador.

Todos os componentes eletrónicos enunciados em cima, que fazem parte do

móduloacústico,serãoanalisadosindividualmenteparaquesepossamconheceras

suascaracterísticasefunções.

ArquiteturadoSistema

18

4.1.2 FPGA

As FPGAs surgiram na década de 80 e atualmente são componentes bastante

usados em áreas como a indústria militar, dispositivos médicos e nas

telecomunicações.AFPGAéumChipconstituídoporcélulas lógicasprogramáveis,

quesãodistribuídasem formadeumamatrizepodemse interligaremdiferentes

modos.AFPGA,atravésdocódigocriadopeloutilizador,permitegerarfisicamente

as ligações necessárias para criar a função lógica que desejamos. A FPGA é uma

ferramenta muito poderosa porque, ao contrário de processador normal que

executao código sequencialmente, a FPGApermitequediferentes funções lógicas

localizadas internamente,eemdiferentessítios,sejamexecutadasemparalelo,ou

seja, se tivermosumgrandenúmerodeentradas, todaselaspodem funcionarem

simultâneooquepermiteenviareprocessarmuitosdadosnaFGPAa velocidades

elevadíssimas.AFigura4-3érepresentaaestruturabásicadeumaFPGA.

Figura4-3EstruturabásicadeumaFPGA.

Capítulo4

19

No sistemaproposto a FPGAé responsável pelas funçõesdeprocessamentoe

controlo.A tarefaprincipaléprocessarossinaisdigitaisatravésda implementação

domoduladoredodesmodulador.Étambémresponsávelpelocontrolodoscircuitos

eletrónicosdoDACedoADC.

AFPGAutilizadanestesistemapertenceàfamíliaSpartan-6XC6SLX9epodeser

visualizadanaFigura4-4.

NaTabela4-1-2encontram-seassuasprincipaisespecificações.

Tabela4-1-2-EspecificaçõesFPGASpartan6XC6SLX9.

FPGASpartan-6XC6SLX9

Célulaslógicas 9152

Memória(Kb) 576

BlocosDSP 16

I/Omáximos 200

Figura4-4FPGASpartan-6.

ArquiteturadoSistema

20

4.1.3 EletrónicadeInstrumentação

Aplacadeinstrumentação,apresentadanaFigura4-5Placadeinstrumentação.,

é constituída por doismódulos: omódulo conversor digital-analógico e omódulo

conversoranalógico-digital.Omóduloconversordigital-analógicoéresponsávelpela

conversão de um código digital para um sinal analógico, por sua vez, o módulo

conversor digital-analógico converte sinais analógicos (quantidade contínua) em

sinais digitais (representação digital em tempo discreto). De seguida, serão

analisadas todas as características destesmódulos e será explicado a forma como

interagemcomosistema.

4.1.3.1 ConversorDigital-Analógico

NosistemapropostooDACéusadoparaconverterosinalmodulado,apartirda

FPGA,numsinalanalógicoasertransmitidoatravésdocanalaquático.ODAC904da

TexasInstruments,foioconversorescolhidoetem14bitsderesoluçãoe165MSPS

defrequênciadeamostragem,oquefazdeleumDACdeelevadavelocidade.

Figura4-5Placadeinstrumentação.

Capítulo4

21

AcorrentedesaídadoDACvariacomosdadosbináriosdaentradaetemuma

gamaentreos0eos20mAeoperaentre+2.7Ve+5Vcomosepodeobservarna

Tabela4-1-3.

Tabela4-1-3SaídadacorrentedoDAC.

InputCode(D13-D0) 𝐼lrs

11111111111111 20mA 0mA

10000000000000 10mA 10mA

00000000000000 0mA 20mA

4.1.3.2 ConversorAnalógico-Digital(ADC)

O ADC foi implementado numa placa perfurada com um circuito integrado

AD9244 que é responsável pela parte da conversão e alguns componentes com

outrasfunçõescomooajustedeoffseteoganhodosistema.Aimplementaçãodo

sistemanumaplacaperfurada,nãopermitequeosistemasejamuitorobusto,mas

permitedesenharealterarosistemadeumaformamaisrápidaeeconomizartempo

nodesenvolvimento.

A placa ADC conecta-se com a placa FPGA através de um conector de 14 bits

paraqueosinaldigitalpossaserprocessado(desmodulado).

4.1.4 Amplificadordepotência.

Para que os sinais sonoros enviados alcancem distâncias mais elevadas é

necessário que a potência do sinal seja amplificada. O amplificador de potência

utilizadonoemissoréapresentadonaFigura4-6econverteumsinalde+/-5Vem

+/-16Vcomumapotênciadesaídade120Weumpicode240W.

ArquiteturadoSistema

22

4.1.5 Transdutoremissor

OtransdutorutilizadonestetrabalhofoiumprojetorultrassónicoPVDF.Este

dispositivo,quepodeservistonaFigura4-7,converteosinalelétricorecebidopelo

amplificadoremondassonoras.Aescolhadotransdutor foi feitadeacordocomo

trabalhodepesquisadesenvolvidopeloalunodedoutoramentoMarcosMartins[5],

talcomoaimplementaçãodomesmo,correspondendoaotransdutordefluoretode

polivinilideno(PVDF).

Figura4-6Amplificadoremissor.

Figura4-7TransdutoremissorPVDF[30][31].

Capítulo4

23

OtransdutorPVDFtemasseguintescaracterísticas:

• 2X110µmdeespessura;

• 2cmdediâmetro;

• Focodirecionalcomumângulodedivergência.

4.1.6 Transdutorhidrofonerecetor

Otransdutorhidrofoneéumdispositivoelétricoquecaptaasvibraçõessonoras

e transformaessasvibraçõesnovamentenumsinalelétrico.Ohidrofoneescolhido

foioC304XRquepodeserobservadonaFigura4-8.

OhidrofoneC304XRtemasseguintesespecificações:

• Bandadefrequênciaentre0.012e1000kHz.

• Sensibilidadeeficazde-181dBe1V/μPa.

Figura4-8Hidrofone.

ArquiteturadoSistema

24

4.1.7 Filtroeamplificadorrecetor

Quando o sinal é recebido pelo hidrofone, este necessita de ser filtrado e

amplificadodevidoaoruídoeàsatenuaçõesquesofrecomadistânciapercorrida.O

hidrofoneusadonosistemafuncionacomfrequênciasnumintervaloentreos50Hz

e os 2MHz e devolve um sinal comumoffset de 2V. Para eliminar o offset e as

componentes de interferências eletromagnéticas com frequências superiores a 2

Mhz,foiutilizadoumfiltroativopassa-bandadesegundaordemde1kHzaté2000

kHz.

Oamplificadorrecetortemumganhovariávelde106dBa-21dB[5].Paraeste

trabalhofoidefinidoumganhode20dB,demodoagarantirumaamplitudedesinal

noADCde200mV.

4.2 Software

As ferramentas de softwareMatlab/Simulink com recurso a blocos específicos

do Xilinx foram as ferramentas utilizadas para programar o Modulador /

Desmodulador na FPGA. Estas ferramentas, para além de tornar todo

processamentodosinalrelativamentesimples,permitemtambémfazersimulações,

o que poupa tempo e recursos em eventuais testes em cenários reais.

Posteriormente,omodelodevesertestadoemambienterealafimdeavaliaroseu

desempenho. Ao longo deste capítulo, serão analisadas detalhadamente as

ferramentasMatlab/SimulinkeISEDesignSuiteEvalution.

4.2.1 Matlab/Simulink

MatLabéumambientedeprogramaçãoparaodesenvolvimentodealgoritmos,

análise de dados, visualização e computação numérica. Este software pode ser

utilizadonumavastagamadeaplicações, incluindooprocessamentode sinalede

imagem,comunicações,biologiacomputacional,mediçõesetestesentreoutros.

Capítulo4

25

O ambienteMatLab/Simulink, em conjunto com blocos específicos do Xilinx

foramasferramentasfundamentaisparaimplementarosblocosdehardwareneste

sistema.Simulinkéum software queestá fortemente interligado comoMatLabe

que é usado na simulação, implementação, modelação e análise de uma grande

variedadedesistemas,incluindosistemasdecomunicação.

Apresenta um ambiente gráfico muito interativo e um extenso conjunto de

bibliotecasprontasausar (MathWorks).Estesoftwarepermitesimularvários tipos

de modulações e ver os resultados num ambiente gráfico. Através do System

Generator, o algoritmo simulado pode ser implementado na FPGA, utilizando

linguagemVHDLnoXilinxISE12.2[28].

4.2.2 ISEDesignSuiteEvalution

EsteprodutodaXilinxfornecepoderosasferramentasdeprocessamentodigital

de sinal com elevados rendimentos, baixos custos e altas eficiências energéticas.

Estas ferramentas são compatíveis com a FPGA Spartan-6 usada neste trabalho.

Entreelas,destaca-seoSystemGeneratorquepermiteimplementarferramentasde

processamentodigital de sinal na FPGAgerandoautomaticamenteumcódigoHDL

atravésdeummodeloSimulink,semanecessidadedeescrevercódigoparaoefeito.

OSystemGenerator incluiblocoscomfunçõesdeprocessamentodesinal,deteção

de erros, memórias, registos e filtros. O System Generator integrado com o

Matlab/Simulink torna bastante simples o processo de testar as propriedades e

condiçõesdoscanaisdecomunicação.

4.3 Implementação

O objetivo desta dissertação é implementar uma técnica de modulação que

permitaatingirelevadosdébitosbináriosequesejarobustaaerrosprovenientesde

efeitoscomoomulti-percursoeoefeitodeDoppler.

ArquiteturadoSistema

26

Os circuitos lógicos domodulador e do desmodulador foram otimizados para

reduzirosconsumosetornarpossívelainclusãodenovasfunçõesnofuturo.

OesquemademodulaçãoimplementadoédotipoOOKdedoiscanais:1MHze

0.5MHz.Ousodedoiscanaistemcomoobjetivoaumentaracapacidadeedébito

bináriodosistema,comumbitratemáximode1Mbps.Estadescriçãoserádividida

emduassecções:omoduladoreodesmodulador.Omodulador temcomofunção

receberosinalnoformatodigital(comorigemnumcomputadorpessoal),modularo

sinalcomumaondaportadoraetransmiti-loparaomeioaquáticoatravésdeondas

acústicas. O desmodulador funciona como um recetor e tem como objetivo

recuperar o sinal original, ou seja, fazer todo o processo inverso do modulador.

Assim, deverá receber o sinal acústico que foi emitido, desmodular o sinal, e

converterosinalanalógicoemdigital.

Os circuitos lógicos do modulador e desmodulador foram implementados em

paralelo sem qualquer interconexão entre eles. Assim é possível assegurar uma

comunicaçãoFullDuplexotimizadaseminterferênciaseatrasos.

De seguida, será analisado detalhadamente a função de cada bloco utilizado

tantonomoduladorcomonodesmodulador.

4.4 Modulador

Omodulador OOK com dois canais de dados, implementado no âmbito desta

dissertação, está dividido em vários blocos. Inicialmente omodulador recebeuma

sequênciadedadosbináriosemcadacanal.Deseguida,atravésdeumblocogerador

deonda,éinjetadaumaondaportadoranocasodeseridentificadoosímbolo“1”da

sequência binária. Posteriormente, os sinais de cada canal são somados e

convertidos num só canal através de um bloco somador. Este bloco também é

responsável por alterar o valor da amplitude do sinal para que possa ser enviado

paraoDACdeacordocomassuasespecificações.AFigura4-9apresentatodosos

módulosconstituintesdomoduladorOOKdedoiscanaisdedados.

Capítulo4

27

Oprocessodemodulaçãoinicia-secomoblocoDados,queéespecíficodaXilinx

parasimularosfluxosdedadosdeentrada.Paratestaromodulador,foiintroduzido

noscanaisocaracterhexadecimal55(sequênciabinária1010101).Nocasodocanal

de0.5MHzfoiutilizadaumperíododeamostragemde3200×10Tu𝑠eparacanalde

1 MHz foi utilizada um período de amostragem de 6400×10Tu𝑠 para facilitar a

filtragem no desmodulador devido aos poucos recursos da FPGA. É importante

salientarquefoidefinidoumclockparaaFPGAde50MHz.

Posteriormente o fluxo de dados é recebido pelos blocos Porta série que

funcionamcomo Inputsparaosdoiscanais.Estesdadosencontram-seemformato

binárioesãoenviadosparaoblocosincronizadordefase.Paraseperceberafunção

desteblocoénecessárioexplicaroblocoGeradordeondaea sua importânciano

sistema.Paracadacanaldedadosexisteumblocogeradordeondacomafunçãode

injetarumaondaportadorade1MHzou0.5MHzdependendodocanal.

A onda portadora, é uma onda sinusoidal com uma função 𝐴 𝑠𝑖𝑛(2𝜋𝑓 + 𝜃)

sendoqueAcorrespondeàamplitudedosinalqueéde1V,θcorrespondeàfasedo

sinalqueé0grause,finalmente,𝑓quecorrespondeàfrequênciadecadacanal(1

MHz ou 0.5MHz). A onda portadora é injetada quando o bloco gerador de onda

recebe como entrada o símbolo lógico “1”. No caso do símbolo lógico “0” é

associado um valor nulo. Assim sendo, os dados que entram em cada canal são

Figura4-9ModuladorOOKdedoiscanais.

ArquiteturadoSistema

28

modulados com uma onda portadora predefinida que servirá como ponto de

referênciaparaoprocessodedesmodulação.

O bloco Sincronizador de fase interage diretamente com o bloco Gerador de

ondapara assegurar a sincronizaçãodo fluxo de dados coma frequência da onda

portadora,ouseja,quandoéiniciadaatransmissãodedadosoblocoSincronizador

de fase ativa oReset do bloco gerador de onda. Assim é garantido que a fase da

ondaportadoraseencontraa0graus.NaFigura4-10pode-seobservarasaídado

Geradordeondacorrespondenteaocanalde0.5MHze1MHzrespetivamente.

Figura4-10Geradordeondasinusoidalde1MHze0.5MHz.

Atéestaetapaosdoiscanaisforamprocessadosdeformaindependente.Agora

énecessárioconverterosdoiscanaisnumsócanalparaqueosinalresultanteseja

interpretado pelo DAC e de seguida transmitido para omeio aquático através do

transdutor.Paraisso,foiutilizadoumblocosomador,comafinalidadedesomaros

sinais do canal de 1 MHz e do canal de 0.5 MHz. Para que o sinal possa ser

interpretadopeloDACénecessárioquepreenchadeterminadosrequisitos.

0 5 10 15

-240

0

240

480

-230

0

230

460

0 5 10 15

Sinal w

ave Ge

nerator

1Mhz (

mV)

Time (us)

Sinal wave Generator 1Mhz

Sinal W

ave Ge

nerator

500 Kh

z (mV) Sinal Wave Generator 500 Khz

Capítulo4

29

ODACutilizadonosistematem14bitsderesolução,oquesignificaqueaceita

valoresentreum intervalode0a16384.Neste sentido,ao sinal foimultiplicadaa

constante8192paraqueseencontrenointervaloentre-8192e8192.Paraquese

encontre no intervalo de 0 e 16384, adiciona-se ao sinal uma constante de 8192.

Posteriormentena fasedadesmodulação será recuperadaa componentenegativa

dosinal.

Finalmente,restasalientarquefoi implementadoumclockparaoDACde12.5

MHz que corresponde a 12 amostras por período para o canal de 1 MHz e 6

amostrasporperíodoparaocanalde0.5MHz.OresultadodamodulaçãoOOKde

doiscanaisdedadospodeserobservadanaFigura4-11.

Figura4-11SaídadoModuladorOOKdedoiscanaisdedados.

-5 0 5 10 15 20 25 30 35 40 45 50 55 60 65

-0,36

0,00

0,36

0,72

-230

0

230

460

-250

0

250

500-5 0 5 10 15 20 25 30 35 40 45 50 55 60 65

Outpu

t emitte

r (mV)

Time (us)

Output emitter

Modul

ation 1

Mhz (m

V) Modulation 1 Mhz

Modul

ation 0

,5 Mhz

(mV)

Modulation 0,5 Mhz

ArquiteturadoSistema

30

4.5 Desmodulador

Oobjetivododesmoduladorédevolverascaracterísticasoriginaisdosinalantes

da sua modulação. Inicialmente será feita uma explicação geral do seu

funcionamento e, posteriormente, e a semelhança da secção anterior serão

analisadosindividualmentetodososblocosqueoconstituem.

Opassoinicialnoprocessodedesmodulaçãoédevolveracomponentenegativa

dosinalquelhefoiretiradaduranteafasedemodulação.Posteriormente,segue-se

afiltragemdoruído(meioaquáticoecomponenteseletrónicos)quesefazsentirsob

a forma da presença de sinais de alta frequência no sinal recebido. Nesta fase, o

desmodulador divide-se em dois blocos. O propósito destes blocos são filtrar

individualmente os sinais correspondentes aos canais de 1 MHz e 0.5 MHz e

convertê-losemformatobinárioparaquepossamserinterpretadospelorecetor.Os

componentesutilizadosemambososblocos foramosmesmos,mas comalgumas

configuraçõesdiferentes.

Assim sendo, primeiramente foi utilizado um filtro para filtar a frequência da

portadoracorrepondenteacadacanal.Emseguidafoiimplementadoumblococom

afunçãodeeliminaracomponentenegativadosinal.Nestafase,osinalencontra-se

apenascomcomponentepositiva.Contudofaltaeliminaracomponentepulsantedo

sinalparaquepossapassarporumblocodedecisão.

Acomponentepulsantedosinaléeliminadaatravésdeumfiltropassa-baixoeo

bloco de decisão irá comparar o nível de amplitude do sinal com um valor de

amplitudedereferência.Casosejamaiorqueovalordereferênciaserácolocadona

saídaonívellógico“1”,casosejainferiorserácolocadoonívellógico“0”.

Para garantir que o sinal possa ser interpretado sem erros pelo recetor, é

necessáriodefinironúmerodebitsquecorrespondeaoperíododecadanívellógico

encontrado.ParaissofoiimplementadoumblocoSincronizadordebitque,através

dumafunção,defineconsoanteoperíododecadanívellógicodeentrada,onúmero

enível lógicodosbitsnasuasaída.NaFigura4-12podemserobservadostodosos

Capítulo4

31

blocosutilizadosparaaimplementaçãododesmodulador.Deseguidaseráanalisado

individualmentecadablocodoDesmodulador.

O sinal acústico modulado, proveniente do meio subaquático, é inicialmente

recebidopelohidrofoneeconvertidoemformatodigitalatravésdoconversorADC.

Nestafase,osinalencontra-seprontoaserdesmoduladopelaFPGA.

OsinalérecebidonaFPGAatravésdeumblocoPortasérieespecíficodaXilinx

comumperíododeamostragemde8×10Tu𝑠.Nomódulodomoduladorosinalfoi

adicionado emultiplicado pela constante 8192 para que pudesse ser interpretado

peloDAC, retirandoassima componentenegativado sinal.Assim sendo,eapósa

receçãodosinalpelaPortasériefaz-seumasubtraçãopor8192.Opróximopassoé

filtrar o sinal com o intuito de eliminar o ruído (altas frequências) originado pelo

meio aquático e pelos próprios componentes eletrónicos. O filtro utilizado foi um

filtropassa-baixodotipoFIRleast-squares,comumafrequênciadeamostragemde

12.5MHz,umafrequênciadepassagemde1.3MHz,umafrequênciadeparagemde

Figura4-12DesmoduladorOOKdedoiscanais.

ArquiteturadoSistema

32

1.5MHze,finalmente,40deordem.NaFigura4-13épossívelobservartodasestas

configurações.

Figura4-13Interfacegráficafiltro.

Nestemomento, o sinal encontra-se pronto a ser desmodulado.Nas próximas

secçõesserãoanalisados inicialmentetodososblocosrelativosádesmodulaçãodo

canalde1MHze,posteriormente,adesmodulaçãodocanalde0.5MHz.

4.5.1 Desmoduladorcanal1MHz

Adesmodulaçãodocanalde1MHz inicia-secomumblocoTDD(Timedivision

desmultiplexer)afimdereduzira frequênciadeamostragemdosinal.OblocoTDD

Capítulo4

33

foi implementado devido ao facto de os filtros digitais requeremmuitos recursos.

Por isso a frequência de amostragemdo sistema foi reduzida2 vezes, situando-se

nos6.25MHz.Deseguidafoiutilizadoumfiltrocomoobjetivodefiltrarosinalcom

a frequência de 1MHz. O filtro utilizado é um filtro passa-alto do tipo FIR least-

squareseéconfiguradocomumafrequênciadeamostragemde6.25MHz,comuma

frequênciadeparagemde0.6MHz,umafrequênciadepassagemde0.8MHzeuma

ordemde40.NaFigura4-14sãoapresentadasasconfiguraçõesparaestefiltro.

Após filtrar o sinal com a frequência de 1MHz, é necessário retificar o sinal,

convertendo a sua componente negativa em positiva. Para este efeito, foi

Figura4-14Filtropassa-alto.

ArquiteturadoSistema

34

implementadoumblocoMcodecomumafunçãoMatlabincorporadaquemultiplica

o sinal pela constante -1. Como resultado é importante referir que este processo

provocaoaumentodafrequênciadosinalparaodobro.

O sinal apresenta neste ponto apenas componente positiva. Contudo, para

converterosinalemformatobinárioénecessárioeliminaracomponentepulsante

do sinal. A componente pulsante do sinal é eliminada através de um filtro passa-

baixodotipoFIRLeast-squares,comumafrequênciadeamostragemde6.25MHz,

uma frequência de passagemde 0.4MHz, uma frequência de corte de 0.9MHz e

uma ordem de 12. As configurações deste filtro podem ser observadas na Figura

4-15.

Parafinalizaradesmodulaçãorelativaaocanalde1MHz,foramimplementados

doisblocosMcodecomfunçõesMatlab.Oprimeiroblocoanalisaosinaleconverte-

Figura4-15Filtropassa-baixo.

Capítulo4

35

onovamenteparaoformatobinárioparaquepossaser interpretadopelorecetor.

Este bloco funciona como um comparador, ou seja, contém uma função que

compara o nível de amplitude do sinal com um valor de amplitude de referência

(Threshold). Caso este sejamaior que o valor de referência é colocado na saída o

nívellógico“1”,casosejainferiorserácolocadoonívellógico“0”nasaída.

O segundo bloco é o Sincronizador de bit. Este bloco é bastante importante

porquedefine,consoanteoperíododecadanível lógicodeentrada,onúmerobits

que serão apresentados à saída. Esta sincronização permite que o sinal seja

interpretado dentro dos parâmetros do recetor. Em termos práticos este bloco

contémumafunçãoMatlabquedefineumintervalodetempocorrespondentea1

bite,medianteoperíododecadanível lógicodeentrada, serádefinidoonúmero

bits apresentados à saída. Caso o período do nível lógico não esteja dentro do

intervalodetempode1bit,éconsideradoruídoeserádescartado.Istovaipermitir

queorecetorpossainterpretarcorretamentecadabitefiltrareventuaisruídos.

4.5.2 Desmoduladorcanal0.5MHz

A estrutura do desmodulador do canal de 0.5 MHz é muito semelhante à

estruturadodesmoduladordocanal1MHz.Nestesentido,serãoapenasanalisados

commaisdetalheosblocosquesofreramalteraçõesaonívelda suaconfiguração.

Inicialmente também foi utilizadooblocoTDD (TimeDivisionDesmultiplexor) para

diminuir frequência de amostragem do sinal. Neste caso reduziu-se quatro vezes

situando-se nos 3.125MHz. De seguida, foi implementado um filtro para filtrar o

sinal com a frequência de 0.5 MHz. O filtro escolhido, representado na Erro! A

origem da referência não foi encontrada., foi um passa-baixo do tipo FIR Least-

squarescomumafrequênciadeamostragemde3.125MHz,frequênciadepassagem

de0.55MHz,frequênciadecortede0.75MHzeordemde40.

ArquiteturadoSistema

36

Figura4-16Filtropassa-baixo.

O próximo bloco utilizado foi um retificador de onda com o objetivo de

converterapartenegativaempositiva.Àsemelhançadodesmoduladorde1MHzfoi

implementado posteriormente um filtro passa-baixo para remover a componente

pulsantedosinal.NaFigura4-17épossívelobservarofiltropassa-baixoescolhido,

do tipo FIR Least-squares com uma frequência de amostragem de 3.125 MHz,

frequênciadepassagemde250kHzefrequênciadecortede400kHzeordemde14.

Capítulo4

37

Figura4-17Filtropassa-baixo.

Após eliminada a componente pulsante do sinal, é utilizado um bloco

comparadorparaconverterosinalemformatobinário.Esteblococomparaovalor

daamplitudedosinalcomumvalordeamplitudedereferência.Casosejasuperior

ao valor de referência, a saída apresenta o nível lógico “1”, caso seja inferior

apresentaonívellógico“0”.

O processo de desmodulação do canal de 0.5 MHz é finalizado com o bloco

Sincronizadordebit.Estebolcoéigualaoutilizadonodesmoduladordocanalde1

MHz. A sua função é definir o número de bits que serão apresentados na saída,

consoanteoperíododecadanívellógicodosinaldeentrada.Esteprocessopermite

que o sinal seja interpretado corretamente pelo recetor mediante as suas

especificações e permite também eliminar eventuais ruídos.

ArquiteturadoSistema

38

Resultadosediscussão

39

5. Resultadosediscussão

No capítulo anterior foi analisada toda a estrutura do modulador /

desmodulador OOK de dois canais. O objetivo deste capítulo é apresentar os

resultadosexperimentaisdestemodemacústico.Paraumamelhorcompreensãodo

quefoifeitonestafase,serádemonstradoinicialmenteaarquiteturadosistema,em

que são apresentados todos os módulos envolvidos no teste. De seguida, será

introduzido o ambiente de teste, ou seja, a estrutura física que integra o canal

aquáticoondeoequipamento foi testado.Tambémseráapresentadoodispositivo

que permitiu fazer as medições de desempenho do sistema. Finalmente serão

disponibilizadoseanalisadostodososresultados.

5.1 ArquiteturadoSistemadeTeste

A arquitetura do sistema é bastante importante para se perceber o

funcionamento domodem acústico. Os módulos que estão envolvidos nos testes

experimentaiseosresultadosquesepodemesperaremrelaçãoacadamódulodo

sistema.Odesenhodeumaboaarquiteturadosistemapodesimplificarbastanteum

projeto e poupar recursos. A Figura 5-1 representa a arquitetura do sistema nos

testesexperimentais.

Capítulo5

40

Nestafasedetestesaomodemacústico,acomunicaçãodedadoscomaFPGAé

feita por um computador através de um cabo RS-232 conectado a um

microcontrolador.Omicrocontrolador temcomoobjetivoencaminharosdadosdo

computadorparaomoduladoredodesmoduladorparao computador.Devidoao

facto da FPGA funcionar como modulador e desmodulador, esta encontra-se

diretamente conectada aomódulo emissor e aomódulo recetor. Esta arquitetura

permite medir o desempenho do sistema em vários módulos e em diferentes

condições.

Figura5-1Arquiteturadosistemaemtestesexperimentais.

Discussãoeresultados

41

5.2 Cenáriodetestes

Os testes experimentais foram realizados num aquário desenvolvido

propositadamente no âmbito desta dissertação. O ambiente de teste é bastante

importante porque pode condicionar os resultados obtidos. Neste sentido, serão

apresentadastodasascaracterísticasdesteaquárioea localizaçãodotransdutore

dohidrofonenoseuinterior.NaFigura5-2pode-seobservaroaquárioutilizadona

fasedetestesexperimentais.

Dimensõesdoaquário:

• 50cmdelargura,

• 150cmdecomprimento,

• 42cmdealtura.

Localizaçãodotransdutorehidrofone:

• 25cmdapartelateraldoaquário,

• 15cmdeprofundidade,

• 23cmdapartetraseiradoaquário.

Figura5-2Aquáriodeteste.

Capítulo5

42

Paraefetuarasmedições,foiusadooosciloscópiodigitalPicoScope2000Séries

da Pico Technology que pode ser visto na Figura 5-3. Este osciloscópio tem dois

canais, uma frequência de sinal de 10 MHz e 100 MSPS para cada canal, uma

impedânciadeentradade1MΩeentradaUSB.

Figura5-3PicoScope2000.

Discussãoeresultados

43

5.3 Resultadosexperimentais

Este teste experimental foi realizado com o modulador OOK de dois canais

integradonomodemacústico.Estemoduladorfoiprojetadoparaumatransmissão

máximadedadosde1Mbps,comondasportadorasde1MHze0.5MHz.

Paratestaromodemacústico,foienviadoocaracterASCII“85”paraoscanaisde

1 MHz e 0.5 MHz do modulador. Este caracter corresponde à sequência binária

“1010101”, que é uma das mais difíceis de desmodular devido à constante

alternânciadeestado.NaFigura5-4épossívelobservarofluxodedadosdeentrada

decadaumdoscanaiseamodulaçãodosinalcomasondasportadorasde1MHze

0.5MHz.

0 10

-240

0

240

480-2

0

2

4

-230

0

230

460

0,0

1,4

2,8

4,20 10

Modu

lation

1Mhz

(mV)

Time (us)

Modulation 1Mhz

Seria

l In 1M

Hz (m

V) Serial In 1MHz

Modu

lation

500 K

hz (m

V)

Modulation 500 Khz

Seria

l In 50

0 KHz

(mV)

Serial In 500 KHz

Figura5-4Entradadofluxodedadosemodulaçãodosinal.

Capítulo5

44

DepoisdesermoduladopelaFPGA,oformatodosinaléconvertidodedigital

para analógico pelo conversorDAC, antes de ser amplificado e transmitido para o

meio aquático através do transdutor. Na Figura 5-5, pode-se observar o sinal

moduladoàsaídadoDAC.

Figura5-5SinalmoduladoàsaídadoDAC.

Deseguida,osinalé transmitidoparaomeioaquáticoatravésdotransdutor

PVDF. Devido às características domeio aquático, quando o sinal é recebido pelo

hidrofone este encontra-se bastante atenuado e degradado como é possível

observarnaFigura5-6.

0 10 20 30 40 50 60 70-0,6

-0,4

-0,2

0,0

0,2

0,4

0,6

0,8

Outp

ut D

AC (V

)

Time (us)

Output DAC

Discussãoeresultados

45

Figura5-6Saídadohidrofone.

Como sepodeobservar, o sinal encontra-sebastante atenuandoe comalgum

ruído. É necessário filtrá-lo e amplificá-lo novamente para que possa ser

interpretado pelo bloco ADC. Após a filtragem e amplificação do sinal, este é

convertidodeanalógicoparadigital.Desta formao sinal encontra-seemperfeitas

condições para ser interpretado pela FPGA no sentido de se proceder à

desmodulaçãodosinal.Nestesentido,naFigura5-7,pode-seobservarosinalàsaída

dohidrofoneeasaídadodesmoduladorrelativoaocanalde1MHzeaocanalde0.5

MHz.

0 20 40 60 80 100 120-600

-400

-200

0

200

400

600

Out

put H

ydro

phon

e (m

V)

Time (us)

Output Hydrophone

Capítulo5

46

Figura5-7Desmodulaçãodosinal.

-5 0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75

-2

0

2

4

-2

0

2

4

-320

0

320

640-5 0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75

Desm

odula

tion 1

Mhz

(mV)

Time (us)

Desmodulation 1 Mhz

Desm

odula

tion 0

.5 Mh

z (mV

)

Desmodulation 0.5 Mhz

Outpu

t Hyd

ropho

ne (m

V) Output Hydrophone

Discussãoeresultados

47

5.4 Análisesdosresultadosobtidos

Analisando os resultados obtidos no teste experimental, pode-se afirmar que

estesestãoemconformidade.Épossívelobservarna figura5-4queoprocessode

modulação foi bem conseguido, o fluxo de dados de entrada em cada canal é

devidamente modulado com as ondas portadoras de 1 MHz e de 0.5 MHz.

Analisandoafigura5-7pode-seobservarque,apesardeexistiralgumruídonosinal

à saída do hidrofone fruto do meio aquático e dos próprios componentes

eletrónicos,adesmodulaçãofoirealizadacomsucesso,existindoumaconcordância

entreosdadosnaentradadomoduladorcomosdadosnasaídadodesmodulador.

As maiores dificuldades encontradas neste trabalho tiveram lugar no

desenvolvimentododesmodulador,nomeadamentenasconfiguraçõesdosfiltrosdo

canal de 0.5MHZ e 1MHz. Os filtros tiveram que ser ajustadosminuciosamente

devidoaospoucosrecursosdaFPGA.Aindaassim,apesardosinaltersidofiltradoà

frequênciadesejada,haviampicosderuídoquenãoerameliminados.Esteruídoera

frutodospróprioscomponenteseletrónicosesófoieliminadocomaimplementação

doblocoSincronizadordebit,descritonocapítulo4.5.

Nafigura5-8épossívelobservarumexemplodopicoderuído(entreos53µse

os55µs)nosinalàentradadoblocoSincronizadordebitdocanalde0.5MHzeo

sinalàsaídaperfeitamentedesmodulado.

Capítulo5

48

Figura5-8Picoderuído.

0 5 10 15 20 25 30 35 40 45 50 55

0,0

1,3

2,6

3,9

0,0

1,2

2,4

3,6

0 5 10 15 20 25 30 35 40 45 50 55

Entrad

a Sinc

roniza

dor de

bit 0.5

MHz (V

)

Time (us)

Entrada Sincronizador de bit 0.5 MHz

Saída

Desm

odulad

or 0.5 M

Hz (V

) Saída Desmodulador 0.5 MHz

Conclusão

49

6. Conclusões

Oobjetivoprincipaldestetrabalhoeraimplementarumatécnicademodulação

digitalparaumsistemadecomunicaçãoacústicasubaquáticasemfios.Estatécnica

deveriapossibilitaraosistemaaumentarasuacapacidadeeaumentaroseudébito

binárioaté1Mbps.

Apósoestudodasváriastécnicasdemodulaçãoexistentes,aquelaquemaisse

apropriavamedianteosrecursosdisponíveiseascaracterísticasdetodoosistema,

eratécnicademodulaçãoOOKdedoiscanais.

Paraqueoobjetivofossecumprido,foinecessáriorealizarasseguintestarefas:

• Estudarascaracterísticasdocanalaquático,

• Estudaraarquiteturadomodemacústico,

• ImplementarumatécnicademodulaçãoOOKde2canais,

• Testaremedirodesempenhodomodememambientereal(aquário).

Comparandoosresultadosobtidosporoutrosmodems(secção3.4),estemodem

apresentadébitosmuitosuperioresàsdosexistentesnomercado.Atéaomomento,

amaiortaxadetransmissãoregistadaporummodemacústicosubaquáticosemfios

comercialéde38.4kbps,sendoqueonossoregistadébitosnaordemde1Mbps.

Contudo, futuramente existem alguns aspetos que podem ser melhorados e

acrescentados,taiscomo:

• SubstituiçãodaFPGAporumaversãoatualizadaecommaisrecursos,

• Implementação de um novo PCB de instrumentação com conectores

adequadosparaligaçãoàFPGA,

50

• Implementação de um algoritmo de calibração do threshold de

desmodulaçãoautomático,

• Implementação de um bloco de controlo automático de ganho para o

ADC,

• MediçãodoBERedoSNRemtestesdelaboratórioecampo,

• Otimizaçãodosfiltrosdigitais,

• Aumentarastaxasdetransmissão,

• Implementar e testar diferentes modulações digitais de forma a

aumentaraqualidadedatransmissão.

51

Referências

[1] E.Engineering,UnderwaterAcousticCommunicationMilicaStojanovic,(n.d.).

[2] http://www.publico.pt/ciencia/noticia/mapa-que-mostra-que-97-de-portugal-e-mar-chega-as-escolas-1630635,(n.d.).

[3] GovernodePortugal,EstratégiaNacionalparaoMar2013-2020,Gov.Port.(2013)1–73.

[4] J. Elias,V.De Jesus,D.Marcos, S.Martins, FPGABasedUltrasoundWirelessCommunication SystemEstrutura IntroduçãoeMotivaçãoEnquadramentoeObjetivos,(n.d.).

[5] M.S.Martins,M.S.Martins, UltrasonicWirelesss Broadband CommunicationSystemforUnderwaterApplications,2013.

[6] R.F.Busby,Underwaterinspection/testing/monitoringofoffshorestructures,OceanEng.6(n.d.)355–491.

[7] C.P.D.JimA.Simpson,BrianL.Hughes,BrianL.Hughes,Anunderwateropticalcommunicationsystemimplementingreed-solomonchannelcoding.,(n.d.).

[8] F. Hanson, S. Radic, High bandwidth underwater optical communication, 47(2008)277–283.

[9] N.Farr,A.Bowen,J.Ware,C.Pontbriand,Anintegrated,underwateroptical/acousticcommunicationssystem,(n.d.).

[10] O. In, Re-Evaluation of RF Electromagnetic Communication in UnderwaterSensorNetworks,(2010)143–151.

[11] W.Lothian,ElectromagneticPropagationinSeaWateranditsvalueinMilitarySystems,(2007)1–6.

[12] M.Antenor,A.Soares,Deteçãocoerentedesinaisacústicospara localizaçãorobustadeveículossubaquáticos,(2013).

[13] M. Stojanovic, J. Preisig, W. Hole, Underwater Acoustic CommunicationChannels :PropagationModelsandStatisticalCharacterization,(2009)84–89.

[14] J. Preisig, Acoustic propagation considerations for underwater acousticcommunications network development, ACM SIGMOBILE Mob. Comput.Commun.Rev.11(2007)2.doi:10.1145/1347364.1347370.

[15] S.Z. e J.C. L. Liu, NProspects and Problems of Wireless Communication forUnderwaterSensorNetworksoTitle,WileyWCMCSpec. IssueUnderw.Sens.Networks.(2008).

[16] J.Y. G. Marani, S. K. Choi, Underwater autonomous manipulation forinterventionmissionsAUVs,OceanEng.36(n.d.).

52

[17] D.E.W. Cheney, R. E., Distribution and Classification of Ocean Fronts,NAVOCEANO.(1976).

[18] M. Stojanovic, J. Preisig, Underwater acoustic communication channels:Propagationmodels and statistical characterization, Commun.Mag. IEEE. 47(2009)84–89.doi:10.1109/MCOM.2009.4752682.

[19] MariCarmenDomingo,Overviewofchannelmodelsforunderwaterwirelesscommunicationnetworks,Phys.Commun.1(3):163–1(n.d.).

[20] A. Rahman, V. Muthukkumarasamy, E. Sithirasenan, The analysis oftemperature, depth, salinity effect on acoustic speed for a vertical watercolumn,Proc.-IEEEInt.Conf.Distrib.Comput.Sens.Syst.DCoSS2013.(2013)310–312.doi:10.1109/DCOSS.2013.63.

[21] K.V.Mackenzie,Nineϋtermequationforsoundspeedintheoceans,J.Acoust.Soc.Am.70(1981)807.

[22] X.Lurton,AnintroductiontounderwaterAcoustics,(n.d.).

[23] J. Diogo,M. Xavier,Modulation Analysis for anUnderwater CommunicationChannel,(2012).

[24] P.H. Dahl, High-frequency forward scattering from the sea surface: thecharacteristic scales of time and angle spreading, IEEE J. Ocean. Eng. 26(1)(2001)141–151.

[25] J.C.R.A.B.Carlson,P.B.Crilly,CommunicationSystems,Int.Ed.(2002).

[26] J.Wills,W.Ye,J.Heidemann,M.Rey,Low-PowerAcousticModemforDenseUnderwaterSensor,WUWNet.(2006)79–85.doi:10.1145/1161039.1161055.

[27] H. Nam, S. An, AnUltrasonic Sensor Based Low-Power AcousticModem forUnderwaterCommunicationin,(2007)494–504.

[28] C. Alexandre, P. Oliveira, M.S. Martins, César Alexandre Pinheiro OliveiraModemdeUltrassonsparaComunicaçãoSubaquáticaa1Mbit/s,(2011).

[29] M.J.G.AinslieM.A.,Asimplifiedformulaforviscousandchemicalabsorptioninseawater,J.Acoust.Soc.Am.103(3)(1998)1671–1672.

[30] M.Martins,V.Correia, J.M.Cabral, J.G.Rocha,Optimizationofpiezoelectricultrasound emitter transducers for underwater communications, SensorsActuatorsA.Phys.(2012).doi:10.1016/j.sna.2012.06.008.

[31] G.R. and S.L.-M.M.Martins, J. Cabral, Effect of the Acoustic Impedance inUltrasonic Emitter Transducers using Digital Modulations, Ocean Eng. 100(2015)107–116.