26
Departamento de Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Electrotécnica e de Computadores 4.º ANO – 2.º SEMESTRE ELECTRÓNICA DAS TELECOMUNICAÇÕES – 2000/2001 3.º TRABALHO LABORATORIAL PROJECTO DE UM SINTETIZADOR DIGITAL DIRECTO BASEADO NUMA FPGA Trabalho elaborado por: Filipe José Nogueira Duarte da Silva Joel Pedro Peixoto de Carvalho Vasco Daniel Carvalho Ferreira dos Santos Turma: 4EEC08(T) Eng.º Henrique Miranda Junho 2001

PROJECTO DE UM SINTETIZADOR DIGITAL DIRECTO …ee97041/rel_3_etele.pdf · com o aumento do número de bits M aproveitados pela LUT). Na sequência da LUT 2/26. aparece um conversor

  • Upload
    dothuy

  • View
    213

  • Download
    0

Embed Size (px)

Citation preview

Departamento de Engenharia Electrotécnica e de Computadores Licenciatura em Engenharia Electrotécnica e de Computadores

4.º ANO – 2.º SEMESTRE

ELECTRÓNICA DAS TELECOMUNICAÇÕES – 2000/2001

3.º TRABALHO LABORATORIAL

PROJECTO DE UM SINTETIZADOR

DIGITAL DIRECTO

BASEADO NUMA FPGA

Trabalho elaborado por:

Filipe José Nogueira Duarte da Silva Joel Pedro Peixoto de Carvalho

Vasco Daniel Carvalho Ferreira dos Santos

Turma: 4EEC08(T)

Eng.º Henrique Miranda Junho 2001

Pequena introdução ao ambiente laboratorial do trabalho

Com este trabalho prático pretende-se uma pequena introdução ao funcionamento dos sistemas DDS (Direct Digital Synthesis), com os quais é possível gerar formas de onda, implementar modulações, etc. com precisões elevadíssimas. O seu princípio de funcionamento básico será ilustrado de uma forma simples ao longo do resto deste capítulo. Um possível esquema ilustrativo de base para a compreensão do funcionamento de um sistema DDS apresenta-se de seguida:

A frequência do sinal de saída depende de três factores essenciais:

1. Frequência de relógio (clock) do sistema; 2. Número de bits do acumulador digital L; 3. Valor do registo de incremento de fase ∆.

À medida que o relógio do sistema vai avançando, o acumulador digital vai pondo valores incrementais dados pela soma do valor actual do registo de fase com o valor contido no registo de incremento de fase ∆, até que seja atingido o overflow. A frequência com que é atingido o overflow vai determinar a frequência do sinal de saída. Ao conjunto do registo de incremento de fase, acumulador digital e registo de fase dá-se o nome de Numerically Controlled Oscillator (NCO). A Look Up Table da forma de onda armazena a forma de onda num formato digital com um certo número de pontos (quanto mais melhor, mas não muito grande dado ser necessário muito alta capacidade de armazenamento). O registo de fase fará o endereçamento a essa LUT que vai pôr na sua saída o valor de amplitude correspondente à fase dada pelo registo de fase. Normalmente o número de bits utilizados no endereçamento à LUT é menor do que o usado no acumulador digital (M bits mais significativos) dado que não precisamos de muitos pontos da forma de onda para obter uma boa precisão (existe também o inconveniente de a quantidade de memória necessária crescer exponencialmente com o aumento do número de bits M aproveitados pela LUT). Na sequência da LUT

2/26

aparece um conversor digital-analógico (DAC) que fará a representação em amplitude da informação (numérica) oferecida pela LUT que será posteriormente filtrada de modo a remover as componentes indesejadas incluídas no sinal tais como as frequências imagem provenientes do teorema da amostragem e não linearidades e energia de glitch introduzidas pelo DAC que fazem aparecer harmónicos da frequência fundamental. O número de bits de resolução do DAC normalmente é M-2 visto que não adianta quase nada aumentá-lo em termos de performance. Uma boa (e rápida!) forma de perceber “a mecânica da coisa” é a apresentação do funcionamento do integrador digital (acumulador digital) sob a forma de uma circunferência de fase digital que vai sendo percorrida à medida que a fase aumenta. A figura seguinte ilustra esse princípio:

O número de pontos da circunferência é dado por 2L e a palavra contida no registo de incremento de fase ∆ dá-nos o número de pontos que se “passa à frente” de cada vez que o relógio avança. O número de vezes que se dá a volta à circunferência é o número de vezes que a LUT é totalmente percorrida num segundo, podendo (ou não) ser igual à frequência do sinal de saída. Existe uma equação que nos permite relacionar a frequência do sinal de saída com ∆, a frequência de clock fclk e o número L de bits usados no NCO. Essa equação é normalmente denominada por equação de sintonia do sistema DDS e aqui está ela:

Lclk

outff2

×∆=

Hardware utilizado nas aulas práticas

O sistema DDS usado nas aulas práticas é baseado na FPGA Xilinx Spartan II XC2S200 e apresenta uma arquitectura deste tipo:

3/26

Esta FPGA tem uma capacidade (elevadíssima) equivalente a 200.000 gates. O DAC usado tem uma resolução de 10 bit mas apenas são utilizados os seus 8 mais significativos.

4/26

Programação da FPGA e análise do funcionamento do sintetizador

1.Programando a FPGA...

O ficheiro de configuração dds.rbt a transferir para a FPGA configura-a da seguinte maneira:

• Resolução do acumulador de fase: L = 24 bit • Resolução de fase da L.U.T.: M = 10 bit • Resolução de amplitude da L.U.T.: N = 8 bit • Frequência de relógio: 50 MHz

Podemos daqui já antever algumas características relativas ao funcionamento do sintetizador DDS:

• O número total de pontos da circunferência de fase digital é 224 =

16777216, o que representa um número bastante elevado • A uma frequência de clock de 50 MHz podemos antever o facto de

ocorrer escorregamento de fase sempre que a frequência do sinal de saída não seja um quociente inteiro da divisão de 50 MHz por uma potência de dois

• Sabendo que o DAC na saída está a utilizar ~100% da sua escala total (aproveita apenas os 8 bits mais significativos provenientes da L.U.T.) podemos calcular a relação sinal-ruído esperada na saída devida aos processos de quantização e truncatura do DAC, em função do oversampling :

)(log1096,61)(log10)1(log201002,676,1

10

1010

TTSQR

×+==×+×+×+=

, em que T representa a taxa de oversampling dada pela divisão entre a frequência actual de clock (50 MHz) e a frequência de amostragem mínima necessária (frequência de Nyquist = 2 * fout)

2. Ajustando o valor dos dip-switches...

Caso 1: 10000H = 6553610

Através da equação de sintonia do sistema DDS podemos calcular qual será a frequência do sinal (sinusoidal) de saída:

3125,1952

1050655362 24

6

×=∆= Lclk

outff kHz

5/26

A relação sinal-ruído à saída vale :

03,832*10*3,195

10*50log*1096,61 3

6

=

+=SQR dB

Pode-se concluir que há escorregamento de fase para esta frequência. A forma de onda obtida no osciloscópio foi uma função sinusoidal muuuuuiiiiiito irregular (e “escorregadia”) devido à não filtragem dos harmónicos e imagens indesejadas, verificando aquilo que estávamos à espera. Para anular este efeito é necessário introduzir um filtro passa-baixo com características adequadas na saída do sintetizador.

Caso 2: 200000H = 209715210

A frequência da onda de saída é:

25,62

10502097152 24

6

×=outf MHz

A relação sinal-ruído à saída vale :

98,672*10*25,6

10*50log*1096,61 6

6

=

+=SQR dB

Neste caso não existe escorregamento de fase dado que 50 = 6,25 * 8. Em termos da representação temporal desta forma de onda não esteve muito longe do tipo de resposta na caso anterior, exceptuando o facto de não existir escorregamento para esta frequência. A representação espectral obtida foi a seguinte:

6/26

Pode-se ver que a frequência fundamental situa-se em 6,25 MHz (assinalada pelo MARKER), os seus harmónicos até 25 MHz e todas as suas imagens espectrais resultantes da amostragem, bem como uma componente em 50 MHz resultante da passagem do clock para a saída (clock-feedthrough). Importa também dizer que todas estas componentes espectrais estão afectadas pela função sinc(πfout/fclk), facto este que resulta do teorema da amostragem.

3. Obtendo o espectro para f out = 7,1 MHz e determinando o valor do S.F.D.R....

Para obtermos uma frequência de saída igual a 7,1 MHz temos de calcular primeiro o valor da palavra de controlo da frequência a utilizar:

Hclk

L

out DAf

f 12452382365672,23823645021,72

10

24

=≅=×=×=∆

A relação sinal-ruído à saída vale :

43,672*10*1,7

10*50log*1096,61 6

6

=

+=SQR dB

Facilmente se pode verificar que o valor da frequência de saída não preenche os requisitos essenciais à não ocorrência de escorregamento de fase. Portanto vai concerteza ocorrer escorregamento de fase na onda de saída. Este “fenómeno” deve-se ao facto de os valores que são consultados na L.U.T. não serem sempre os mesmos em ciclos de fase diferentes (existe uma truncatura de fase aplicada à LUT). A representação espectral apresenta-se de seguida:

7/26

Também nesta representação espectral se verifica a existência das chamadas componentes espúrias derivadas do facto de existir um escorregamento elevado na fase do sinal. Uma medida da figura de mérito do sistema DDS pode ser o cálculo do Spurious Free Dynamic Range (SFDR), que representa a gama de amplitude entre a amplitude da frequência fundamental e a da componente espúria com maior amplitude (de notar que estas componentes espúrias não estão directamente relacionadas com a frequência fundamental do sinal de saída – não incluem os harmónicos nem as frequências imagem). De uma maneira geral, a amplitude do máximo espúrio não é superior a –6,02*M dBc (dBc representa a potência de um espúrio relativamente à potência fundamental e M o número de bits utilizados no endereçamento à LUT). Portanto, teoricamente o valor da SFDR no intervalo [0, 20] MHz será um valor perto de 6,02 * 10 = 60,2 dB. Observando a representação espectral da figura anterior podemos constatar que a SFDR é 58 dB, valor este que se aproxima bastante do teoricamente esperado.

4. Programando o sintetizador para f out = 16,67 MHz e f out = 10 MHz...

Caso 1: fout = 16,67MHz

Para este valor de frequência a palavra de controlo de frequência do sintetizador é ∆ = 5592405,33 ≅ 559240510 = 555555H. A esta frequência vai existir escorregamento, mas neste caso vai ser menor do que no anterior. A relação sinal-ruído à saída vale :

72,632*10*67,16

10*50log*1096,61 6

6

=

+=SQR dB

No domínio das frequências:

8/26

Existe explicação para as três riscas espectrais presentes neste gráfico. A primeira não é muito difícil de explicar: trata-se da frequência fundamental que aqui aparece 0,02 MHz abaixo do esperado visto que arredondámos a palavra de controlo para o valor inteiro mais próximo (que, neste caso, é inferior ao fraccionário). A segunda é a primeira frequência imagem da fundamental e está situada em 50-16,65 = 33,35 MHz. Finalmente, existe uma em 50 MHz que representa a passagem do clock para a saída (clock-feedthrough).

Caso 2: fout = 10,00MHz

Para este valor de frequência a palavra de controlo de frequência do sintetizador é ∆ = 3355443,2 ≅ 335544310 = 333333H. Como 50 não é um múltiplo inteiro e par de 10, significa que existe novamente escorregamento de fase. A relação sinal-ruído à saída vale :

94,652*10*10

10*50log*1096,61 6

6

=

+=SQR dB

Representação espectral:

9/26

A primeira risca representa a frequência fundamental. A segunda é o primeiro harmónico da frequência fundamental que se situa a 20 MHz. A terceira é a frequência imagem do 1º harmónico e está situada em 30 MHz. A quarta é a frequência imagem da fundamental e está nos 40 MHz. Finalmente, existe uma em 50 MHz que representa a passagem do clock para a saída (clock-feedthrough).

10/26

5. Projecto do filtro do sintetizador

Pretende-se projectar um filtro passa-baixo do tipo Cauer-Chebyshev (ou elíptico) para eliminar os efeitos das frequências imagens e dos harmónicos presentes na saída do sintetizador. Sabendo que o seu comportamento em frequência deverá ser o mais próximo da Figura 1 uma possível montagem para tal desempenho poderá ser o representado na Figura 2.

Figura 1: Resposta em frequência típica de um filtro Cauer-Chebyshev de ordem 5

Figura 2: Estrutura de um filtro Cauer-Chebyshev de ordem 5

Para tal é-nos requerido um filtro com as seguintes características: • Ordem: N=5 • Frequência de corte : fc= 20 MHz • Atenuação mínima na banda de corte: Amin= 37 dB

Por consulta de tabelas normalizadas de filtros Cauer-Chebyshev tendo como principal critério aquela que mais se aproximaria ao valor de Amin não esquecendo o coeficiente de reflexão ρ (quanto mais baixo for maior é a potência de sinal incidida), podemos facilmente obter os valores normalizados dos condensadores e bobinas intervenientes no circuito da

11/26

Figura 2. Deste modo, consideramos que os valores que mais se adaptavam às nossas exigências eram os que se encontravam na Tabela 2 mas com referência ao ângulo modular θ que está disponível na Tabela 1.

O – Zeros X - Pólos

Tabela 1

12/26

Tabela 2

13/26

Apresentam-se de seguida os valores normalizados dos componentes pertencentes ao quadro da Tabela 2 e referentes à coluna da esquerda para K2 = 0 correspondente à nossa montagem.

θ = 44.0 º C1’ C2’ L2’ C3’ C4’ L4’ C5’

0.84286 0.17119 1.19018 1.45603 0.52586 0.85352 0.60301

Como se pode constatar o valor de Amin encontrado não é o especificado nas características mas de qualquer modo melhorou, ou seja passou de 37 dB para 37.32 dB (atenua mais). Outro valor importante para o nosso trabalho é o valor de f4. Sabe-se que f4 deverá ser superior a fs (ver Figura 1 ). Consultando a Tabela 1 para o θ já referido, constata-se que fs ocorrerá 1.4396 acima de Ω=1. Desnormalizando,

MHzff cs 792.28204396.14396.1 =×=×= Assim, f4 deverá valer 30 MHz de modo a se remover a primeira imagem localizada a essa mesma frequência. Quanto ao valor de Amax (atenuação máxima da banda passante) ele deverá situar-se perto dos 0 dB de modo a que o filtro se comporte como um passa-tudo na banda passante, isto é, de modo a que todo espectro seja transferido para a saída intacto. Podemos comprovar o valor de θ da seguinte forma:

o

s

c

s

c radff

ff

444396.1

1sin180sin)sin( 1

0

1 =

×=

=⇒= −−

πθθ

Relembre-se mais uma vez que os valores capacitivos e indutivos são normalizados em função de uma resistência Rr (de igual valor à resistência de entrada Rin e resistência da saída Rout), de uma frequência cr fπω 2= , de uma bobina rrr RL ω/= e de uma capacidade C )r/(1 rr Rω= . Podemos de imediato obter todos os nossos valores de referência:

• sMradfcr /66.1252022 =×== ππω • Rr=50Ω (normalmente) • nHRL rrr 89.39766.125/50/ === ω • pFRC rrr 15.159)5066.125/(1)/(1 =×== ω

Em termos de valores absolutos apenas temos de fazer a seguinte conversão:

'iri CCC = e 'iri LLL =Desta forma teremos:

C1 (pF) C2 (pf) L2 (nH) C3 (pF) C4 (pF) L4 (nH) C5 (pF) 134.15 27.246 473.56 231.73 83.693 339.6 95.972

Antes da montagem do circuito é necessário testá-lo num programa de simulação. Para tal recorreu-se ao Spice e após a definição do sript

14/26

*especificação dos componentes rs 10 1 50 c1 1 0 134.15e-12 l2 1 2 473.56e-9 c2 1 2 27.246e-12 c3 2 0 231.73e-12 l4 2 3 339.6e-9 c4 2 3 83.693e-12 c5 3 0 95.972e-12

podemos comprovar graficamente nos gráficos Gráfico 1, Gráfico 2 e Gráfico 3 (estes dois últimos são zooms de modo a integrar as atenuações máxima Amax e miníma Amin , respectivamente.

Gráfico 1

Gráfico 2 Gráfico 3

Pode-se comprovar graficamente que estes componentes conduzem às especificações do nosso filtro pois:

15/26

f4 situa-se nos 30 MHz como seria de esperar (Gráfico 1) Amin um pouco superior aos 37 dB (37.35 dB) para frequências superiores a 30 MHz Amax não excede os 0.05 dB embora este parâmetro não tenha elevado realce no projecto deste tipo de filtros; este valor poderá ser obtido pela fórmula que o relaciona com o ρ da seguinte forma:

dBA 0436.0)1.01log(10)1log(10 22max =−×−=−×−= ρ

Equiripple na banda de rejeição

Encontra-se no script duas resistências rs e rl que representam respectivamente Rin e Rout já mencionadas anteriormente. Salienta-se o facto de a simulação inicial não apresentar às baixas frequências ganho unitário (0 dB) como é representado na Figura 1 (na banda de frequências 0 – 10 MHz o filtro comporta-se como um curto-circuito – ganho unitário – e desse modo o sinal da fonte de entrada divide-se equatitativamente por rs e rl). Deve-se ao facto de o Spice traçar a função de transferência considerando a entrada antes de rs e não após da mesma, como deveria ser, já que o nosso filtro começa nesse mesmo ponto (lembremos que queremos a resposta em frequência do filtro). Desse modo somos forçados a somar 6 dB na linha de comando .plot ac 6+vdb(3) para compensar a perda de sinal em rs que, sendo de igual valor a rl cobra metade do sinal de entrada

dBdB 6621log20 ocompensaçã a fazendo + →−=×

Os valores destes componentes seriam os mais óptimos para o filtro, o certo é que estes valores não estão acessíveis para o nosso trabalho já que estamos limitados à associação de apenas um condensador por outro já existente. Assim, e tendo em conta aos valores pertencentes à série E12:

1.0 1.2 1.5 1.8 2.2 2.7 3.3 3.9 4.7 5.6 6.8 8.2 x (sub) múltiplos de 10 Projectamos o nosso filtro real com os seguintes valores:

C1 (pF) C2 (pf) L2 (nH) C3 (pF) C4 (pF) L4 (nH) C5 (pF)

150 27 470 390 85.9 330 100

C4 foi obtido por associação paralelo de 2 condensadores de 82 pF e 3.9 pF. Fez-se nova simulação com estes novos componentes e os resultados esperados não se dispersaram em relação aos anteriores. Atente-se então aos gráficos Gráfico 4, Gráfico 5 e Gráfico 6 (a ordem de apresentação é igual à anterior).

16/26

Gráfico 4

Gráfico 5 Gráfico 6

Pela simples inspecção gráfica podemos dizer que a parte final da banda passante piorou (aumentou de 0.05 dB para 0.4 dB) e perdeu-se o equiripple; a banda de rejeição melhorou significativamente já que Amin aumentou (passou de 37.35 dB para 42 dB). Ficam pois satisfeitos os parâmetros ‘essenciais’ (f4, fc e Amin) como era de desejar. De seguida apresenta-se o script para esta nova simulação:

*especificação dos componentes rs 10 1 50 c1 1 0 150e-12 l2 1 2 470e-9 c2 1 2 27e-12 c3 2 0 390e-12 l4 2 3 330e-9 c4 2 3 85.9e-12 c5 3 0 100e-12 rl 3 0 50 *alimentação v1 10 0 ac 1 *fazer varrimento de 1 MHz a 100 MHz .ac dec 500 1e6 100e6 *simulaçao .plot ac 6+vdb(3) .end

17/26

Característica interessante deste filtro é que todos os seus pólos (5) distribuem-se segundo uma forma elíptica enquanto que os seus zeros (4) estão todos assentes no eixo imaginário (conferir na Tabela 1) inferindo no filtro um carácter altamente instável (devido à localização dos zeros). Podemos pois escrever a função de transferência do nosso filtro protótipo recorrendo à Tabela 1:

θº Ωs Amin σ0 σ1 σ3 Ω1 Ω2 Ω3 Ω4 44 1.4396 37.32 0.79935 -0.12190 -0.47973 1.0975 2.2154 0.8419 1.4926

Tal como é indicado na tabela, σ0 deverá ser considerado negativo; por outro lado todos estes valores estão normalizados em relação fc=20 MHz sendo necessário multiplicá-los, excepto θ por 2πfc. De seguida representa-se a o lugar de raízes (Figura 3) bem como o módulo da resposta em frequência (Figura 4), ambos obtidos em MATLAB da função de transferência do nosso filtro :

∏−

≥+=

=

Ω±−

Ω±×

−= 1

012

1

1

))((

)(1)( N

kki

ii

N

ii

o js

js

ssH

σσ

)8419.047973.0)(8419.047973.0)(0975.11219.0)(0975.11219.0()4926.1)(4926.1)(8419.0)(8419.0)(2154.2)(2154.2)(0975.1)(0975.1(

79935.01)(

jsjsjsjsjSjsjsjsjsjsjsjs

ssH

−+++−+++−+−+−+−+

×+

=

Script:

r0=0.79935; r1=0.12190; r3=0.47973; %sigmas-->parte real im1=1.0975; im2=2.2154; im3=0.8419; im4=1.4926; %omegas-->parte imaginaria %(s+jim4)*(s-jim4)*(s+jim2)*(s-jim2)-->numerador A1=conv([1 i*im4],[1 -i*im4]); B1=conv([1 i*im2],[1 -i*im2]); num=conv(A1,B1); %(s+r0)*(s+(r1+jim1))*(s+(r1-jim1))*(s+(r3+jim3))*(s+(r1-jim1))-->denominadorA=conv([1 r1+i*im1],[1 r1-i*im1]); B=conv([1 r3+i*im3],[1 r3-i*im3]); den=conv(conv(A,B), [1 r0]); H=tf(num,den); %-->funçao de transferencia pzmap(H); %-->representaçao do lugar de raizes pause; freqs(num,den); %-->resposta em frequencia

18/26

Figura 3

Figura 4

Evidencia-se o facto do eixo das frequências estar normalizado em relação a fc. O facto do ganho não ser unitário às baixas frequências é irrelevante já que apenas pretendemos comparar o comportamento do módulo da resposta em frequência. Como se pode comprovar esta resposta aproxima-se às obtidas em Spice.

19/26

Finalizadas estas tarefas e com o auxílio de um gerador RF foi possível visualizar a resposta temporal do filtro no osciloscópio, em que se notou na amplitude um comportamento inalterável até próximo dos 20 MHz, uma atenuação progressiva até perto dos 27 MHz e o corte (muito próximo disso) acima deste valor. Na realidade já estavamos à espera deste acontecimento pois esta etapa apenas realça a o módulo da resposta em frequência do nosso filtro.

20/26

6. Análise do comportamento e caracterização do filtro

Uma forma muito comum de caracterizar sistemas a altas frequências é através dos chamados Parâmetros S (Scattering Parameters) que relacionam as tensões incidentes e reflectidas em todos os portos. Através dessas relações podem-se obter medidas importantes como ganho, atenuação, impedância de entrada, etc. Para medir esses parâmetros foi utilizado um network analyser. Para a correcta utilização deste aparelho é necessário proceder à sua calibração. Para calibrá-lo usaram-se os seguintes componentes: circuito-aberto, curto-circuito, impedância de 50 Ω (todos estes de grande precisão e estabilidade) e ainda o cabo utilizado para ligar o aparelho referido ao filtro. Esta calibração deverá ter que ser feita sempre que se alterem quaisquer condições de teste.

6.1. Após a devida calibração do network analyser foi possível obter e armazenar em ficheiro os parâmetros S11 e S21 em função da frequência, no intervalo [1, 100] MHz. Com o auxílio do MATLAB conseguimos reproduzir o resultado que obtivemos no network analyser. De seguida apresenta-se a figura representativa do módulo do parâmetro S11 :

Este gráfico representa o módulo do coeficiente de reflexão ρ. Como se pode verificar, este parâmetro excede aquele que especificámos para a banda passante na fase de projecto do filtro (10%), dado que os componentes utilizados têm uma incerteza no seu valor que não conseguimos controlar. O seguinte gráfico representa o módulo do parâmetro S21:

21/26

Este parâmetro é representativo do ganho do filtro, mas em escala linear. Através deste gráfico não dá para comparar a pormenor com o “protótipo” que idealizámos na fase de projecto. Uma comparação mais detalhada requer o gráfico do Insertion Loss, que será apresentado de seguida na próxima alínea.

6.2. Em função dos parâmetros anteriores é possível determinar outras grandezas: • (Insertion Loss) ( )2110log20 SIL ×−=

• (Return Loss) ( )1110log20 SRL ×−=

• (Voltage Standing Wave Ratio) 11

11

11

SS

−+

=VSWR

• (Impedância de entrada) 11

110 11

SSZZin −

+=

Recorrendo ao MATLAB, foi-nos possível obter os gráficos de todas as grandezas mencionadas acima:

22/26

Insertion Loss (IL) ou atenuação

Este gráfico é o do ganho real do filtro, mas ao contrário, ou seja, trata-se da atenuação introduzida pelo filtro em função da frequência. Como podemos verificar, esta resposta não é má de todo: na banda passante preenche os requisitos para Amax ≅ 6 dB; a frequência de corte é muito aproximadamente igual a 20 MHz; a 30 MHz é que poderia ter sido melhor (o objectivo era fazer essa frequência desaparecer do mapa); por fim, Amin está num valor perfeitamente aceitável dadas as especificações (min = 37 dB). As (poucas) diferenças observadas devem-se ao facto de os valores dos componentes constituintes do filtro se comportarem de maneiras diferentes a frequências diferentes, à própria incerteza dos seus valores (de fabrico) e também ao facto de as soldaduras efectuadas na construção do filtro não serem perfeitas.

23/26

Return Loss (RL)

Na banda passante, o return loss esperado era de ≈ -20*log(0,1) ≅ 20 dB. Observando o gráfico apresentado, verifica-se que não é bem isso que acontece. O RL chega a baixar até ≈ 13 dB. Explicações para esse facto já foram dadas no tópico anterior (Insertion Loss). Voltage Wave Standing Ratio (VSWR)

24/26

Para este projecto o 22,11,011,01

=−+

=VSWR na banda passante. De facto, é mais ou

menos isso que obtivemos. Quanto à banda de rejeição, visto que o coeficiente de reflexão aumenta muito, podemos verificar que, pela expressão dada para o VSWR, este vai ser muito alto. De facto é isso que se verifica no gráfico apresentado.

Impedância de entrada (Zin)

Observando este gráfico, pode-se verificar que os 50 Ω especificados no projecto apenas acontecem para as frequências mais baixas, dado que a essas frequências as bobinas são curto-circuitos1, enquanto os condensadores são circuitos-abertos. Desse modo, todo o sinal é transmitido à carga. Os 50 Ω iniciais apenas se devem à carga. Perto da frequência de corte os componentes indutivos entram em ressonância com os capacitivos (praticamente só à custa dos que estão em paralelo com as bobinas), tornando-se em circuitos-abertos2 receptivos a toda a potência do sinal. Esse facto comprova o súbito aumento da impedância de entrada do filtro. (Os circuitos-abertos e curto-circuitos mencionados em 1 e 2 são vistos em série com a carga.)

6.3. O próximo passo é utilizar o filtro para realizar o objectivo para o qual foi projectado. Esse objectivo é o de eliminar as componentes indesejadas do sinal proveniente do DAC do sintetizador DDS, incluindo os harmónicos da frequência fundamental introduzidos pelas não-linearidades introduzidas pelo próprio DAC e as frequências imagem resultantes do teorema da amostragem.

25/26

Ora para uma frequência de 19MHz a palavra de controlo de frequência terá que ser 6375342,08 ≅ 637534210 = 6147AEH. A resposta espectral deste sinal é bastante razoável. Quase só aparece a frequência fundamental, como pretendido. As componentes espectrais que eram “alvos a abater” ficaram reduzidas a uma insignificância. Observando a resposta temporal final verifica-se que o sinal é uma sinusóide bastante pura, tendo apenas uma ondulação residual na sua amplitude.

26/26