6
 Modelação de Sistema de Distribuição de Energia Elétrica com Carregamento Não Linear, Utilizando a Linguagem VHDL-AMS Frank Alberto Ibarra Hernández, Carlos Alberto Canesin Laboratório de Eletrônica de Potência - LEP, Programa de Pós-Graduação em Engenharia Elétrica, Universidade Estadual Paulista – UNESP – FE/IS Av. José Carlos Rossi, 1370, 15385-000, Ilha Solteira - SP, Brasil [email protected], [email protected]  Resumo Este artigo apresenta a simulação de um sistema de distribuição de energia elétrica com carregamento não linear, utilizando-se a linguagem VHDL-AMS, considerando-se um exemplo de alimentador de 959 nós. Com o intuito de verificar a rigorosidade da linguagem VHDL-AMS, os resultados das simulações obtidas foram confrontados utilizando-se o Orcad/Pspice. Os resultados demonstram a efetividade da linguagem VHDL-AMS para a simulação de um sistema de distribuição de energia elétrica, para a análise do fluxo de potências, considerando-se carregamento não linear e propagação de distorções harmônicas. Os modelos em VHDL- AMS viabilizam o desenvolvimento de uma arquitetura de simulação em tempo real e controle para o alimentador de distribuição de energia elétrica, utilizando as linguagens de descrição de hardware VHDL-AMS e VHDL.  Palavras-chaves Cargas Elétricas Não Lineares, Linguagens de descrição de Hardware, Simulação em Tempo Real, Sistemas Elétricos de Distribuição, VHDL-AMS. I. INTRODUÇÃO A evolução tecnológica dos dispositivos eletroeletrônicos, em especial aqueles do domínio da eletrônica de potência, processadores e controladores eletrônicos de energia elétrica, tem proporcionado a utilização destes diversos tipos de tecnologias nas mais variadas atividades da sociedade moderna, nos segmentos residencial, comercial, industrial e rural. Desta forma, o número de cargas potencialmente perturbadoras (fornos de indução, retificadores, inversores, dentre outros) é cada vez maior em todos os setores, principalmente no segmento industrial, afetando a segurança e continuidade da operação e, fortemente, às tecnologias convencionais de compensação e regulação dos sistemas de distribuição de energia elétrica [1]. As conseqüências nos indicadores de continuidade do serviço e nas técnicas usuais de compensação e regulação dos sistemas de distribuição, nestes novos cenários de forte carregamento não linear, vão em detrimento ao fornecimento de energia. As concessionárias de distribuição de energia elétrica são responsáveis pelas condições deste fornecimento de energia, considerando-se principalmente o atual cenário de carregamento não linear crescente, o que impõe intensa circulação de correntes harmônicas através das redes de distribuição, provocando distorções harmônicas nas tensões e perdas adicionais nos diversos dispositivos dos sistemas elétricos, além de importantes efeitos que podem levar equipamentos à operação indevida, errônea e/ou mau funcionamento, incluindo-se dispositivos de proteção, regulação e compensação [1]. Desta forma, além de solicitar ao cliente uma análise interna de sua própria carga, a concessionária necessita de ferramentas de engenharia e conhecimento científico para interpretar e aplicar as informações recebidas, de tal forma a admitir decisões técnicas acertadas. Neste contexto, o tratamento e análise dos indicadores de Qualidade da Energia Elétrica (QEE) passa por um processo de investigação de fenômenos em regime permanente e transitório dos sistemas de distribuição [2]. Desta forma, considerando-se ainda os cenários das Redes Elétricas do Futuro ( Smart Grids), tornam-se necessárias ferramentas de análises em tempo real para a operação dos sistemas (análise do fluxo de potências em tempo real), considerando-se a reconfiguração automática das redes e a operação integrada de elementos de compensação e re gulação (V/var). Portanto, este trabalho propõe uma ferramenta que utiliza a linguagem VHDL-AMS para a simulação completa de um alimentador de rede de distribuição primaria, com carregamento não linear, considerando-se a presença de elementos de compensação e regulação de t ensão. Observa-se que esta ferramenta é basilar para o desenvolvimento de um simulador em tempo real para sistemas de distribuição de energia elétrica (SDEE), utilizando-se estruturas com descrição de hardware em software, com os objetivos de gerenciamento dos indicadores de QEE e controle em tempo real dos SDEE. II. LINGUAGEM DE MODELAÇÃO VHDL-AMS VHDL-AMS é um superconjunto da linguagem VHDL, que apóia a descrição hierárquica e a simulação de sistemas digitais, analógicos e de sinais mistos [3, 4]. Nesse contexto, VHDL-AMS é uma extensão da linguagem de descrição de hardware digital VHDL (VHSIC: Circuito Integrado de Muita Alta Velocidade – “Very High Speed Integrated Circuit ” mais HDL: Linguagem de Descrição de Hardware – “  Hardware  Description Language”). Assim, VHDL-AMS suporta a

Cbqee 2015 Frank_v2

  • Upload
    frank

  • View
    213

  • Download
    0

Embed Size (px)

DESCRIPTION

Este artigo apresenta a simulação de um sistema de distribuição de energia elétrica com carregamento não linear,utilizando-se a linguagem VHDL-AMS, considerando-se um exemplo de alimentador de 959 nós. Com o intuito de verificar a rigorosidade da linguagem VHDL-AMS, os resultados das simulações obtidas foram confrontados utilizando-se oOrcad/Pspice. Os resultados demonstram a efetividade dalinguagem VHDL-AMS para a simulação de um sistema dedistribuição de energia elétrica, para a análise do fluxo de potências, considerando-se carregamento não linear e propagação de distorções harmônicas. Os modelos em VHDL-AMS viabilizam o desenvolvimento de uma arquitetura de simulação em tempo real e controle para o alimentador de distribuição de energia elétrica, utilizando as linguagens de descrição de hardware VHDL-AMS e VHDL.

Citation preview

7/21/2019 Cbqee 2015 Frank_v2

http://slidepdf.com/reader/full/cbqee-2015-frankv2 1/6

Modelação de Sistema de Distribuição de EnergiaElétrica com Carregamento Não Linear, Utilizando a

Linguagem VHDL-AMSFrank Alberto Ibarra Hernández, Carlos Alberto Canesin

Laboratório de Eletrônica de Potência - LEP, Programa de Pós-Graduação em Engenharia Elétrica,Universidade Estadual Paulista – UNESP – FE/IS

Av. José Carlos Rossi, 1370, 15385-000, Ilha Solteira - SP, [email protected], [email protected]

Resumo Este artigo apresenta a simulação de um sistema dedistribuição de energia elétrica com carregamento não linear,utilizando-se a linguagem VHDL-AMS, considerando-se umexemplo de alimentador de 959 nós. Com o intuito de verificar arigorosidade da linguagem VHDL-AMS, os resultados dassimulações obtidas foram confrontados utilizando-se oOrcad/Pspice. Os resultados demonstram a efetividade dalinguagem VHDL-AMS para a simulação de um sistema dedistribuição de energia elétrica, para a análise do fluxo de

potências, considerando-se carregamento não linear epropagação de distorções harmônicas. Os modelos em VHDL-AMS viabilizam o desenvolvimento de uma arquitetura desimulação em tempo real e controle para o alimentador dedistribuição de energia elétrica, utilizando as linguagens dedescrição de hardware VHDL-AMS e VHDL.

Palavras-chaves Cargas Elétricas Não Lineares, Linguagensde descrição de Hardware, Simulação em Tempo Real, SistemasElétricos de Distribuição, VHDL-AMS.

I. INTRODUÇÃO

A evolução tecnológica dos dispositivos eletroeletrônicos,

em especial aqueles do domínio da eletrônica de potência,processadores e controladores eletrônicos de energia elétrica,tem proporcionado a utilização destes diversos tipos detecnologias nas mais variadas atividades da sociedademoderna, nos segmentos residencial, comercial, industrial erural. Desta forma, o número de cargas potencialmenteperturbadoras (fornos de indução, retificadores, inversores,dentre outros) é cada vez maior em todos os setores,principalmente no segmento industrial, afetando a segurança econtinuidade da operação e, fortemente, às tecnologiasconvencionais de compensação e regulação dos sistemas dedistribuição de energia elétrica [1].

As conseqüências nos indicadores de continuidade doserviço e nas técnicas usuais de compensação e regulação dos

sistemas de distribuição, nestes novos cenários de fortecarregamento não linear, vão em detrimento ao fornecimentode energia. As concessionárias de distribuição de energiaelétrica são responsáveis pelas condições deste fornecimentode energia, considerando-se principalmente o atual cenário decarregamento não linear crescente, o que impõe intensacirculação de correntes harmônicas através das redes dedistribuição, provocando distorções harmônicas nas tensões e

perdas adicionais nos diversos dispositivos dos sistemaselétricos, além de importantes efeitos que podem levarequipamentos à operação indevida, errônea e/ou maufuncionamento, incluindo-se dispositivos de proteção,regulação e compensação [1].

Desta forma, além de solicitar ao cliente uma análise internade sua própria carga, a concessionária necessita de ferramentasde engenharia e conhecimento científico para interpretar e

aplicar as informações recebidas, de tal forma a admitirdecisões técnicas acertadas. Neste contexto, o tratamento eanálise dos indicadores de Qualidade da Energia Elétrica(QEE) passa por um processo de investigação de fenômenosem regime permanente e transitório dos sistemas dedistribuição [2].

Desta forma, considerando-se ainda os cenários das RedesElétricas do Futuro (Smart Grids), tornam-se necessáriasferramentas de análises em tempo real para a operação dossistemas (análise do fluxo de potências em tempo real),considerando-se a reconfiguração automática das redes e aoperação integrada de elementos de compensação e regulação(V/var).

Portanto, este trabalho propõe uma ferramenta que utiliza a

linguagem VHDL-AMS para a simulação completa de umalimentador de rede de distribuição primaria, comcarregamento não linear, considerando-se a presença deelementos de compensação e regulação de tensão. Observa-seque esta ferramenta é basilar para o desenvolvimento de umsimulador em tempo real para sistemas de distribuição deenergia elétrica (SDEE), utilizando-se estruturas comdescrição de hardware em software, com os objetivos degerenciamento dos indicadores de QEE e controle em temporeal dos SDEE.

II. LINGUAGEM DE MODELAÇÃO VHDL-AMS

VHDL-AMS é um superconjunto da linguagem VHDL, queapóia a descrição hierárquica e a simulação de sistemasdigitais, analógicos e de sinais mistos [3, 4]. Nesse contexto,VHDL-AMS é uma extensão da linguagem de descrição dehardware digital VHDL (VHSIC: Circuito Integrado de MuitaAlta Velocidade – “Very High Speed Integrated Circuit ” maisHDL: Linguagem de Descrição de Hardware – “ Hardware

Description Language”). Assim, VHDL-AMS suporta a

7/21/2019 Cbqee 2015 Frank_v2

http://slidepdf.com/reader/full/cbqee-2015-frankv2 2/6

modelação em vários níveis de abstração em domínios daenergia elétrica e outros (físicos ou térmicos). Os sistemas aserem modelados são sistemas conservativos ou nãoconservativos que podem ser descritos por equaçõesdiferenciais ordinárias e equações algébricas, nas quais asolução das equações que descrevem o comportamento dosistema pode incluir descontinuidades [4]. Qualquer problemaque possa ser definido por uma combinação de filas de eventos(digital) ou equações diferenciais algébricas simultâneas

(analógico) pode ser simulado com VHDL-AMS [5]. A fim deavaliar o desempenho de um simulador VHDL-AMS, tem-seque considerar três propriedades fundamentais: Exatidão,Eficiência e a Capacidade de executar a simulação [6].Exatidão é definida pela semântica da linguagem VHDL-AMSe tem de ser assegurada pelos algoritmos implementados. Aeficiência é medida em termos da velocidade da simulação. Acapacidade de desempenho inclui questões como asnecessidades de recursos e apoio linguístico.

III. ALIMENTADOR DE TESTE UTILIZANDO A

LINGUAGEM VHDL-AMS

O alimentador de teste foi selecionado considerando umcenário típico real de uma distribuidora nacional de energiaelétrica, no qual, segundo este caso particular analisado,aplicou-se uma ferramenta de redução da rede e concentraçãode cargas, resultando no esquemático da Fig. 1, apresentando:

Uma fonte trifásica não equilibrada com distorçõesharmônicas (14,4 kV de tensão de linha);

Um regulador de tensão trifásico em configuraçãoDelta-fechado com um comutador de TAP’s (8posições aditivas e 8 subtrativas), havendo umavariação total de tensão de 19,5 % nas 16 posições;

Cargas passivas indutivas trifásicas, resultantes daparcela linear do carregamento da rede;

Filtro capacitivo trifásico primário; Carga não linear trifásica e desequilibrada.

Considerando-se que o alimentador de teste da Fig. 1 apresenta959 nós, foi desenvolvida sua simulação em VHDL-AMSutilizando-se o software SystemVision™ (Mentor Graphics),considerando-se os modelos básicos dos componenteselétricos publicados pelos autores em [7], desenvolvidos emVHDL-AMS.

IV. RESULTADOS DAS SIMULAÇÕES EM VHDL-AMS

A modelação é o núcleo de qualquer processo de projeto.

Esta tarefa consiste essencialmente no desenvolvimentoabstrato de algumas descrições da realidade física, procurandoque estas sejam úteis para o processo de projeto e operação. Osmodelos podem ser utilizados para validar as características deuma parte ou de todo o sistema concebido, por exemplo, a suafuncionalidade ou os seus desempenhos. Tais modelos podemser modelos de simulação, ou modelos executáveis queproduzem uma resposta quando são influenciados porestímulos. Os modelos podem descrever o comportamentoe/ou a estrutura do sistema concebido em vários níveis dedetalhes, ou níveis de abstração. Selecionar o nível adequado

é, por outro lado, uma questão de compromisso entre aprecisão do modelo e o desempenho deste e, por outro lado,uma forma de lidar com a complexidade do sistema [8].

Neste contexto, foram realizadas as simulações em VHDL-AMS do alimentador da Fig. 1, sendo que estas simulaçõesforam confrontadas usando-se o Orcad/Pspice, consideradocomo um padrão de exatidão para a aplicação. As condiçõesde simulação foram mantidas idênticas nos dois ambientes desimulação, sendo que:

1) Os métodos de integração utilizados para a soluçãonumérica das equações são: Trapezoidal para VHDL-AMS eNewton-Raphson para Orcad/Pspice. Neste contexto, ospassos de tempo das simulações sao: 71,9 µs para VHDL-AMS e 10,0 µs para Orcad/Pspice.2) Foram feitas as simulações e armazenados os dadosresultantes para o intervalo desde 500 até 525 milissegundos,considerando-se a referência de máximo nível dos TAPs doregulador de tensão (TAP da Fase A = 8, TAP da Fase B = 8 eTAP da Fase C = 8);3) Foram realizadas as simulações das tensões e correntes paratoda a rede. Entretanto, neste artigo, somente os resultados dassimulações mais representativas são apresentadas:a) A Linha que alimenta a carga com a pior regulação de tensão

é referenciada como: LINHA_PRI-X539727_1605338,b) A Linha que alimenta a única carga não linear trifásicadesequilibrada é referenciada como: LINHA_PRI-X28676063_4782056, e,c) Linhas à entrada e saída do regulador de tensão, sãoreferenciadas como: Linha LINHA_PRI-X28760949_960319e Linha LINHA_PRI-X9603201_960320, respectivamente.

Tomando em consideração as legendas da Fig. 2, para osdois ambientes de simulação (VHDL-AMS e PSPICE), osresultados das simulações mais representativos sãoapresentados nas Fig. 3 até Fig. 10.

Segundo as simulações realizadas, utilizando-se alinguagem VHDL-AMS, foram obtidas as seguintesconclusões para o sistema elétrico de distribuição da Fig. 1:

As cinco cargas com maior regulação de tensão, depior para a melhor regulação, são respectivamente:- CLTPEQE-XCLTPEQE539727;- CLTPEQE-XCLTPEQE2047531;- CLTPEQE-XCLTPEQE1525339;- CLTPEQE-XCLTPEQE1525345 e,- CLTPEQE-XCLTPEQE4781628.

A nomenclatura CLTPEQE-XCLTPEQE significa: Cargaindutiva trifásica equilibrada em estrela referenciada para aMédia Tensão (MT).

As variações da regulação de tensão correspondem a1,22% para cada movimento da posição do TAP no reguladorde tensão.

De acordo com o perfil de tensão do alimentador,

baseando-se nas simulações realizadas em VHDL-AMS,conclui-se que:- O cenário de regulação mais favorável corresponde ao TAPna posição “-2” para as três fases e,- O cenário mais desfavorável é configurado com o TAP naposição 8 para as três fases. Neste cenário mais desfavorável,a carga com a pior regulação de tensão (CLTPEQE-XCLTPEQE539727) apresenta uma regulação do 11,86% paraas três fases.

7/21/2019 Cbqee 2015 Frank_v2

http://slidepdf.com/reader/full/cbqee-2015-frankv2 3/6

Fig. 1. Alimentador exemplo de uma Rede de Distribuição Primaria.

Ia PSPICE

Ib PSPICE

Ic PSPICE

Ia VHDL-AMS

Ib VHDL-AMS

Ic VHDL-AMS Fig. 2. Legendas para as Fig. 3 até Fig. 10.

Fig. 3. Tensões de Fase da Linha que alimenta a Carga com a Pior Regulaçãode Tensão (LINHA_PRI-X539727_1605338).

Fig. 4. Correntes da Linha que alimenta a Carga com a Pior Regulação deTensão (LINHA_PRI-X539727_1605338).

Fig. 5. Tensões de Fase da Linha que Alimenta a única Carga não LinearDesequilibrada (LINHA_PRI-X28676063_4782056).

Fig. 6. Correntes da Linha que Alimenta a única Carga não LinearDesequilibrada (LINHA_PRI-X28676063_4782056).

LINHA_PRI-XSE_1973769

6190,89 µΩ 32,2µH

LINHA_PRI-X1973768_1973769

217,!6 µΩ 3,23µH

LINHA_PRI-X2!18037_1973768

1337,76 µΩ 27,1µH

LINHA_PRI-X2!18037_28760998

73!2,86 µΩ 38,7µ H

LINHA_PRI-X28760998_1228633

1µΩ 0,01"H

LINHA_PRI-X1329777_1228633

237,19 µΩ 106,28µH

LINHA_PRI-X2919791_1329777

17227,0!µΩ 9,29µH

LINHA_PRI-X1329777_129!!

!61,88µΩ 92,8µH

CL#PE$E-XCL#PE$E2919791

%

!21,! Ω 1!671,39 &H

LINHA_PRI-X129!3_129!!

137,02µΩ 27,!µH

LINHA_PRI-X129!3_17!720!

126,32µΩ 26,82 µH

CL#PE$E-XCL#PE$E129!3

%

170, 21Ω !736, 37 &H

LINHA_PRI-X17!720!_287609!9

1µΩ 0,01 "H

LINHA_PRI-X287609!9_960319

700,10µΩ 1!,21µH

RE'D(_3(-X960319_9603201

LINHA_PRI-X9603201_960320

!70,08µΩ 9,!µH

LINHA_PRI-X960320_199!738

1!!8,61µΩ 29,!0µH

LINHA_PRI-X199!738_199!739

720,0 µΩ 1!,61 µH

CL#PE$E-XCL#PE$E199!739

%

17!,67 Ω !72!,09 &H

LINHA_PRI-X199!739_!86!12

10,0µΩ 111,82µH

LINHA_PRI-X!86!12_!86!13

6!9,93µΩ 13,19µH

LINHA_PRI-X!86!12_1003989

63,!0µΩ 13,26µH

LINHA_PRI-X!86!13_2867721

1µΩ 0,01"H

LINHA_PRI-X2867721_2019128

6022,7! µΩ 31,6! µH

CL#PE$E-XCL#PE$E2019128

%

! 8 6, !! Ω 8 3 3, !6 & H

LINHA_PRI-X1003989_28760978

!318,8 µΩ 87,6!µH

R)*+a./) #).

LINHA_PRI-X28760978_38673

1 µΩ 0,01 "H

LINHA_PRI-X38673_162!998

18222,03 µΩ !0,90µH

LINHA_PRI-X38673_28676197

1µΩ 0,01"H

CL#PE$E-XCL#PE$E162!998

%

2!71,1! Ω 6687,33 &H

LINHA_PRI-X162!998_28760967

6863,!7µΩ 36,0 µH

LINHA_PRI-X28676197_88!70

23789,22µΩ 81,88µH

CL#PE$E-XCL#PE$E88!70

%

3776,11 Ω 10218,81 &H

LINHA_PRI-X28760967_106008

1µΩ 0,01"H

LINHA_PRI-X!89128_106008

1228,86µΩ 2!,9!µH

LINHA_PRI-X!89128_!89129

376,31µΩ 28,2! µH

LINHA_PRI-X!89129_31937

11!73,88 µΩ 39,!9 µH

LINHA_PRI-X31937_1178293

1116!,96 µΩ 8,6 µH

LINHA_PRI-X1178293_117829!

8980,1 µΩ !7,17µH

LINHA_PRI-X117829!_388608

2813,6µΩ 7,10µH

LINHA_PRI-X296189_388608

1997,86µΩ !0,!µH

LINHA_PRI-X3166792_296189

380,88 µΩ 78,1µH

137,79µΩ 2,0 µH

LINHA_PRI-X!660023_296189

LINHA_PRI-X1!7729_!660023

1 µΩ 0,01 "H

LINHA_PRI-X17369_3166792

1716,2!µΩ 3!,83µH

LINHA_PRI-X1001!8_1!7729

680,71µΩ 8!,! µH

LINHA_PRI-X1!7728_1!7729

20,07µΩ 37,91µH

LINHA_PRI-X1001!8_20!998

!37,!8µΩ 80,83µH

LINHA_PRI-X20!998_20!999

760,03µΩ 11,30µH

LINHA_PRI-X20!999_127172

930,62µΩ 18,89µH

LINHA_PRI-X127172_123!

2!1,10 µΩ 106,36µH

CL#PE$E-XCL#PE$E123!

%

3, 1 7 Ω 1 3 9, 88 & H

LINHA_PRI-X2019891_1!7728

2891,63µΩ !2,99µH

LINHA_PRI-X1!7728_28676063

1 µΩ 0,01 "H

LINHA_PRI-X179099!_2019891

833,3 µΩ 123,92µH

LINHA_PRI-X1!3!78!1_179099!

!612,72µΩ 2!,23 µH

LINHA_PRI-X289!7!7_1!3!78!1

1 µΩ 0,01 "H

LINHA_PRI-X17!38!3_289!7!7

10891,09µΩ 7,21µH

LINHA_PRI-X!781629_17!38!3

118!7,22µΩ 62,23 µH

LINHA_PRI-X907090_17!38!3

27233,22µΩ 1!3,0µH

LINHA_PRI-X!781628_286760!

227!,!9 µΩ 11,9µ H

LINHA_PRI-X286760!_!781629

1 µΩ 0,01 "H

CL#PE$E-XCL#PE$E!781628

%

1386,07 Ω 1208,!6 &H

LINHA_PRI-X39727_160338

10763,61µΩ 6,!µH

LINHA_PRI-X160338_907090

839,23 µΩ !!,8 µH

LINHA_PRI-X1!2963_160338

2976,7 µΩ 1,6! µH

CL#PE$E-XCL#PE$E39727

%

8 3, 0 Ω 1 !8 ,8 ! & H

LINHA_PRI-X20!732_1!2963

2027,31 µΩ 70,6 µH

LINHA_PRI-X2867987_20!732

1µΩ 0,01"H

LINHA_PRI-X20!731_2867987

07,17µΩ 17,!1µH

CL#PE$E-XCL#PE$E20!731

%

1809,37 Ω 1399,86 &H

LINHA_PRI-X28676063_!78206

696,!6µΩ 10,3µH

CN#D$#-XCN#D$#!78206

LINHA_PRI-X28760882_17369

1µΩ 0,01"H

LINHA_PRI-X22206_28760882

328,63µΩ 108,1!µH

CC#PE$#-XCC#PE$#22206

LINHA_PRI-X960103_22206

8988,0 µΩ !7,21µ H

10 &Ω 2,78µ(

LINHA_PRI-X117180_960103

2778,01µΩ 6,38µH

LINHA_PRI-X12339_117180

170,1!µΩ 3!,60µH

CL#PE$E-XCL#PE$E12339

%

! 8 ,1 3 Ω 1 0 2, !2 & H

A

B

C

DE

F

H

G

I

J

K

L

M

N

O

P

Q

R

S

T

U

V

W

S+b)4a5.

E4/ca)

1!,! V

V:8,3V /&

%

;;;; Ω ;;;; &H

;; &Ω ;;; µ(

Símbolo Signii!"#o

L<a #/=>ca

E?+b/aa

Ca/*a I+4@a

#/=>ca P/&a/a

E?+b/aa E4/)aB

Ca/*a N.-L)a/

#/=>ca

D))?+b/aa

#/a*+.B

Ca/*a Ca"ac4@a

#/=>ca P/&a/a

E?+b/aa #/a*+.B

Con$%n&'%(

0, 0,0 0,1 0,1 0,2 0,2-1,

-1

-0,

0

0,

1

1,; 10

!

Tempo (s)

T e n s ã o ( V

)

0, 0,0 0,1 0,1 0,2 0,2-10

-100

-0

0

0

100

10

Tempo (s)

C o r r e n t e ( A )

0, 0,0 0,1 0,1 0,2 0,2-1,

-1

-0,

0

0,

1

1,; 10

!

Tempo (s)

T e n s ã o ( V )

0, 0,0 0,1 0,1 0,2 0,2-200

-10

-100

-0

0

0

100

10

200

Tempo (s)

C o r r e n t e ( A )

7/21/2019 Cbqee 2015 Frank_v2

http://slidepdf.com/reader/full/cbqee-2015-frankv2 4/6

Fig. 7. Tensões de Fase à Entrada do Regulador de Tensão (LINHA_PRI-

X28760949_960319).

Fig. 8. Correntes à Entrada do Regulador de Tensão (LINHA_PRI-X28760949_960319).

Fig. 9. Tensões de Fase à Saída do Regulador de Tensão (LINHA_PRI-X9603201_960320).

Fig. 10. Correntes à Saída do Regulador de Tensão (LINHA_PRI-X9603201_960320).

Segundo [9], num sistema de distribuição real, os detalhesdas instalações dos clientes são insuficientes para umamodelação exata das distorções harmônicas. Além disso, ocarregamento nos alimentadores é um resultado dos diferentestipos, amplitudes e modos de operação das cargas. Estasincógnitas impedem a modelação eficiente dos sistemas dedistribuição e uma previsão precisa das distorções harmônicas.

Portanto, através da ferramenta de simulação proposta(utilizando a linguagem VHDL-AMS), além modelar osprincipais elementos do sistema de distribuição e as cargas(lineares e não lineares), considerando-se para o caso nãolinear a modelação equivalente no PAC (Ponto deAcoplamento Comum) de cargas, é possível analisar apropagação harmônica na rede e obter-se os espectrosharmônicos para todas as barras de interesse. Os espectrosharmônicos das simulações mais representativas sãoapresentados nas Fig. 11 até Fig. 18.

1 2 3 ! 6 7 8 9 10 11 1 2 13 1! 1 0

2000

!000

6000

8000

10000

12000

Ordem Harmônica (n)

T e n s ã o ( V

)

Va VHDL-AMS

Vb VHDL-AMS

Vc VHDL-AMS

Va PSPICE

Vb PSPICE

Vc PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A 3,0

#HD (a) ,1

#HD (a) C ,9

Sim)l"&*o PSPICE

#HD (a) A 3,0

#HD (a) 7,0

#HD (a) C 7,8

Fig. 11. Espectro harmônico das Tensões de Fase da Linha que alimenta à

Carga com a Pior Regulação de Tensão.

1 2 3 ! 6 7 8 9 10 1 1 1 2 1 3 1 ! 1 0

20

!0

60

80

100

120

Ordem Harmônica (n)

C o r r e n t e ( A )

Ia VHDL-AMS

Ib VHDL-AMS

Ic VHDL-AMS

Ia PSPICE

Ib PSPICE

Ic PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A 3,6

#HD (a) 3,0

#HD (a) C 6,2

Sim)l"&*o PSPICE

#HD (a) A ,0

#HD (a) !,1

#HD (a) C 8,8

Fig. 12. Espectro harmônico das Correntes da Linha que alimenta à Carga

com a Pior Regulação de Tensão.

1 2 3 ! 6 7 8 9 10 11 1 2 13 1! 1 0

2000

!000

6000

8000

10000

12000

Ordem Harmônica (n)

T e n s ã o ( V )

Va VHDL-AMS

Vb VHDL-AMS

Vc VHDL-AMS

Va PSPICE

Vb PSPICE

Vc PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A 3,0

#HD (a) ,1

#HD (a) C ,9

Sim)l"&*o PSPICE

#HD (a) A 3,0

#HD (a) 7,0

#HD (a) C 7,8

Fig. 13. Espectro harmônico das Tensões de Fase da Linha que Alimenta à

única Carga não Linear Desequilibrada.

0, 0,0 0,1 0,1 0,2 0,2-1,

-1

-0,

0

0,

1

1,; 10

!

Tempo (s)

T e n s ã o ( V )

0, 0,0 0,1 0,1 0,2 0,2-600

-!00

-200

0

200

!00

600

Tempo (s)

C o r r e n t e ( A )

0, 0,0 0,1 0,1 0,2 0,2-1,

-1

-0,

0

0,

1

1,; 10

!

Tempo (s)

T e n s ã o ( V )

0, 0,0 0,1 0,1 0,2 0,2-600

-!00

-200

0

200

!00

600

Tempo (s)

C o r r e n t e ( A )

7/21/2019 Cbqee 2015 Frank_v2

http://slidepdf.com/reader/full/cbqee-2015-frankv2 5/6

1 2 3 ! 6 7 8 9 10 1 1 12 1 3 1! 1 0

0

100

10

Ordem Harmônica (n)

C o r r e n t e ( A )

Ia VHDL-AMS

Ib VHDL-AMS

Ic VHDL-AMS

Ia PSPICE

Ib PSPICE

Ic PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A 10,1

#HD (a) 8,9

#HD (a) C 9,7

Sim)l"&*o PSPICE

#HD (a) A 10,7

#HD (a) 11,

#HD (a) C 13,1

Fig. 14. Espectro harmônico das Correntes da Linha que Alimenta à única

Carga não Linear Desequilibrada.

1 2 3 ! 6 7 8 9 10 1 1 1 2 1 3 1 ! 1 0

2000

!000

6000

8000

10000

12000

Ordem Harmônica (n)

T e n s ã o ( V )

Va VHDL-AMS

Vb VHDL-AMS

Vc VHDL-AMS

Va PSPICE

Vb PSPICE

Vc PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A 3,0

#HD (a) ,1

#HD (a) C ,7

Sim)l"&*o PSPICE

#HD (a) A 2,9

#HD (a) 7,0

#HD (a) C 7,7

Fig. 15. Espectro harmônico das Tensões de Fase à Entrada do Regulador de

Tensão.

1 2 3 ! 6 7 8 9 10 1 1 12 1 3 1 ! 1 0

100

200

300

!00

00

600

Ordem Harmônica (n)

C o r r e n

t e ( A )

Ia VHDL-AMS

Ib VHDL-AMS

Ic VHDL-AMS

Ia PSPICE

Ib PSPICE

Ic PSPICE

Sim)l"&*o VHDL,AMS#HD (a) A !,3

#HD (a) 3,3

#HD (a) C ,8

Sim)l"&*o PSPICE#HD (a) A ,6

#HD (a) !,7

#HD (a) C 9,0

Fig. 16. Espectro harmônico das Correntes à Entrada do Regulador de

Tensão.

1 2 3 ! 6 7 8 9 10 11 12 13 1! 10

2000

!000

6000

8000

10000

12000

Ordem Harmônica (n)

T e n s ã o ( V )

Va VHDL-AMS

Vb VHDL-AMS

Vc VHDL-AMS

Va PSPICE

Vb PSPICE

Vc PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A 3,0

#HD (a) ,1

#HD (a) C ,7

Sim)l"&*o PSPICE

#HD (a) A 2,9

#HD (a) 7,0

#HD (a) C 7,7

Fig. 17. Espectro harmônico das Tensões de Fase à Saída do Regulador de

Tensão.

1 2 3 ! 6 7 8 9 10 11 12 13 1! 10

100

200

300

!00

00

600

Ordem Harmônica (n)

C o r r e n t e ( A )

Ia VHDL-AMS

Ib VHDL-AMS

Ic VHDL-AMS

Ia PSPICE

Ib PSPICE

Ic PSPICE

Sim)l"&*o VHDL,AMS

#HD (a) A !,3

#HD (a) 3,3

#HD (a) C ,8

Sim)l"&*o PSPICE

#HD (a) A ,6

#HD (a) !,7

#HD (a) C 9,0

Fig. 18. Espectro harmônico das Correntes à Saída do Regulador de Tensão.

As Tabelas I até IV apresentam uma descrição quantitativacomparativa para os resultados das simulações apresentadosnas Fig. 3 até Fig. 10. Nestas tabelas, o valor eficaz (RMS) écalculado para o estado estacionário, considerando-se operíodo de 500 até 525 milissegundos, nos dois métodos desimulação (VHDL-AMS e PSPICE).

TABELA I. TENSÕES DE FASE E CORRENTES DA LINHA QUE ALIMENTA ACARGA COM A PIOR REGULAÇÃO DE TENSÃO.

TABELA II. TENSÕES DE FASE E CORRENTES DA LINHA QUE ALIMENTA AÚNICA CARGA NÃO LINEAR DESEQUILIBRADA.

TABELA III. TENSÕES DE FASE E CORRENTES À ENTRADA DO REGULADORDE TENSÃO.

TABELA IV. TENSÕES DE FASE E CORRENTES À SAÍDA DO REGULADOR DETENSÃO.

V. CONCLUSÕES

Neste artigo foi utilizada a linguagem VHDL-AMS paramodelar e simular um sistema de distribuição de energiaelétrica de 959 nós, reduzido e com concentrações de carga,

VHDL,AMS PSPICE VHDL,AMS PSPICE

A 7F320,20 7F321,80 0,022 A 72,88 73,2 0,08

B 7F36!,30 7F363,!0 0,012 B 72,!7 72,8! 0,07

C 7F36,20 7F361,0 0,072 C 72,63 73,0! 0,63

F " ( % V"lo- RMS #% %(."#o

%(."!ion/-io 0A12E--o

M4.. )

S&+a5. F " ( % V"lo- RMS #% %(."#o

%(."!ion/-io 0V12E--o

M4.. )

S&+a5.

VHDL,AMS PSPICE VHDL,AMS PSPICE

A 7F333,00 7F332,70 0,00! A 101,9 101,98 0,033

B 7F377,00 7F37!,10 0,039 B 10,0 10,22 0,163

C 7F369,00 7F372,60 0,0!9 C 98,78 98,86 0,08

2E--o

M4.. )

S&+a5. F " ( %

V"lo- RMS #% %(."#o

%(."!ion/-io 0V1

2E--o

M4.. )

S&+a5. F " ( %

V"lo- RMS #% %(."#o

%(."!ion/-io 0A1

VHDL,AMS PSPICE VHDL,AMS PSPICE

A 8F239,90 8F238,60 0,016 A !03,98 !0,29 0,32!

B 8F232,70 8F228,20 0,0 B !0,6! !06,98 0,329

C 8F280,0 8F283,!0 0,03 C !00,08 !01,!9 0,31

F " ( %

V"lo- RMS #% %(."#o

%(."!ion/-io 0A1

2E--o

M4.. )

S&+a5. F " ( %

V"lo- RMS #% %(."#o

%(."!ion/-io 0V1

2E--o

M4.. )

S&+a5.

VHDL,AMS PSPICE VHDL,AMS PSPICE

A 7F!1!,0 7F!1!,20 0,00! A !03,98 !0,29 0,32!B 7F!9,!0 7F!6,0 0,039 B !0,6! !06,98 0,329

C 7F!1,00 7F!!,60 0,0!8 C !00,08 !01,!9 0,31

2E--o

M4.. )

S&+a5. F " ( %

V"lo- RMS #% %(."#o

%(."!ion/-io 0V1

2E--o

M4.. )

S&+a5. F " ( %

V"lo- RMS #% %(."#o

%(."!ion/-io 0A1

7/21/2019 Cbqee 2015 Frank_v2

http://slidepdf.com/reader/full/cbqee-2015-frankv2 6/6

considerando-se carregamento não linear e a consequentepropagação das distorções harmônicas (correntes e tensões).

A partir dos resultados, considerando-se as comparaçõescom uma plataforma de simulação bem conhecida (PSPICE),considerada neste artigo como padrão de comparação, pode-seconcluir que os resultados decorrentes da simulação com osmodelos em VHDL-AMS são praticamente exatos,demonstrando a eficácia e exatidão da plataforma desimulação desenvolvida e proposta neste artigo. As diminutas

diferenças entre os resultados obtidos com o PSPICE e com alinguagem VHDL-AMS obedecem aos diferentes métodos deintegração utilizados pelas duas plataformas de simulação,para obter a solução numérica das equações diferenciais.

Deve-se destacar que, os resultados obtidos neste artigoservirão como base para o desenvolvimento de uma arquiteturade simulação em tempo real e controle (ASTR&C) para oalimentador de distribuição de energia elétrica, com o intuitode analisar a qualidade da energia e melhorar as ações decontrole nos sistemas de distribuição, procurando assimaumentar a confiabilidade e sustentabilidade do sistema depotência. Esta arquitetura utilizará as linguagens de descriçãode hardware VHDL-AMS, para simulação em tempo real eVHDL, para o desenvolvimento do sistema de gerenciamento

da distribuição e controle.Ambas as linguagens VHDL e VHDL-AMS, juntamentecom as informações do sistema elétrico de distribuição,tornarão possível a simulação em tempo real e controle dealimentadores de distribuição de energia elétrica.

A ASTR&C utilizará algoritmos digitais implementados emum dispositivo FPGA, para o gerenciamento e controle dosistema elétrico, considerando-se todos os dispositivosconvencionais das redes de distribuição (fontes, linhas,transformadores, cargas (lineares e não lineares), elementos deregulação e compensação passiva).

V. AGRADECIMENTOS

Os autores agradecem à Fundação de Amparo à Pesquisa doEstado de São Paulo–FAPESP, pelo apoio financeiro para odesenvolvimento deste trabalho.

V. REFERÊNCIAS

[1] L. C. O. Oliveira, G. A. e Melo, J. B. Souza, C. A. Canesin, B. D.Bonatto, F. N. Belchior , et al., “Harmonic propagation analysis inelectric energy distribution systems,” in Proc. 11th International

Conference on Electrical Power Quality and Utilisation (EPQU),2011, pp. 1-6.

[2] M. F. McGranaghan, “Quantifying Reliability and Service Qualityfor Distribution Systems,” IEEE Transactions on Industry

Applications, vol. 43, no. 1, pp. 188-195, 2007.[3] H. Boussetta, M. Marzencki, S. Basrour, and A. Soudani,

“Efficient Physical Modeling of MEMS Energy HarvestingDevices With VHDL-AMS,” IEEE Sensors Journal, vol. 10, no. 9,pp. 1427-1437, 2010.

[4] E. Christen and K. Bakalar, “VHDL-AMS-a hardware descriptionlanguage for analog and mixed-signal applications,” IEEE

Transactions on Circuits and Systems II: Analog and Digital

Signal Processing, vol. 46, no. 10, pp. 1263-1272, 1999.[5] D. Damon and E. Christen, “Introduction to VHDL-AMS. 1.

Structural and discrete time concepts,” in Proc. IEEE International

Symposium on Computer-Aided Control System Design, 1996., pp.264-269.

[6] P. Frey, K. Nellayappan, V. Shanmugasundaram, R. S.Mayiladuthurai, C. L. Chandrashekar, and H. W. Carter, “SEAMS:simulation environment for VHDL-AMS,” in Proc. Conference inSimulation. Winter , 1998, vol.1, pp. 539-546.

[7] F. A. Ibarra Hernandez and C. A. Canesin, “Electrical PowerDistribution System modeling with VHDL-AMS for theconstruction of a Real-Time Digital Simulator using FPGASdevices,” in Proc. IEEE/IAS 10th International Conference on

Industry Applications (INDUSCON), 2012, pp. 1-7.[8] F. Pecheux, C. Lallement, and A. Vachoux, “VHDL-AMS and

Verilog-AMS as alternative hardware description languages forefficient modeling of multidiscipline systems,” IEEE Transactions

on Computer-Aided Design of Integrated Circuits and Systems,

vol. 24, no. 2, pp. 204-225, 2005.[9] S. Vlahinic, D. Brnobic, and N. Stojkovic, “Indices for Harmonic

Distortion Monitoring of Power Distribution Systems,” IEEE

Transactions on Instrumentation and Measurement, vol. 58, no. 5,pp. 1771-1777, 2009.