87
UNIVERSIDADE TECNOL ´ OGICA FEDERAL DO PARAN ´ A DEPARTAMENTO ACAD ˆ EMICO DE EL ´ ETRICA CURSO DE ENGENHARIA EL ´ ETRICA DARLAN ANTONIO RIGO PROJETO DE UMA PLATAFORMA ABERTA PARA DESENVOLVIMENTO DE OSCILOSC ´ OPIOS BASEADOS EM MICROCONTROLADORES TRABALHO DE CONCLUS ˜ AO DE CURSO PATO BRANCO 2017

PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

UNIVERSIDADE TECNOLOGICA FEDERAL DO PARANA

DEPARTAMENTO ACADEMICO DE ELETRICA

CURSO DE ENGENHARIA ELETRICA

DARLAN ANTONIO RIGO

PROJETO DE UMA PLATAFORMA ABERTA PARA

DESENVOLVIMENTO DE OSCILOSCOPIOS BASEADOS

EM MICROCONTROLADORES

TRABALHO DE CONCLUSAO DE CURSO

PATO BRANCO

2017

Page 2: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

DARLAN ANTONIO RIGO

PROJETO DE UMA PLATAFORMA ABERTA PARA

DESENVOLVIMENTO DE OSCILOSCOPIOS BASEADOS

EM MICROCONTROLADORES

Trabalho de Conclusao de Curso degraduacao, apresentado a disciplina deTrabalho de Conclusao de Curso 2, doCurso de Engenharia Eletrica do Departa-mento Academico de Eletrica - DAELE - daUniversidade Tecnologica Federal do Pa-rana - UTFPR, Campus Pato Branco, comorequisito parcial para obtencao do tıtulo deEngenheiro Eletricista.

Orientador: Prof. Dr. Gustavo Weber De-nardin

PATO BRANCO

2017

Page 3: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

TERMO DE APROVACAO

O Trabalho de Conclusao de Curso intitulado PROJETO DE UMA PLATA-

FORMA ABERTA PARA DESENVOLVIMENTO DE OSCILOSCOPIOS BASEADOS

EM MICROCONTROLADORES do academico Darlan Antonio Rigo foi considerado

APROVADO de acordo com a ata da banca examinadora N 142 de 2017.

Fizeram parte da banca examinadora os professores:

Prof. Dr. Gustavo Weber Denardin

Prof. Dr. Cesar Rafael Claure Torrico

Prof. Dr. Juliano de Pelegrini Lopes

Page 4: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

Aos meus pais, por todo apoio.

Page 5: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

Acreditar e mais facil do que pensar. Daı existem

muito mais crentes do que pensadores.

Bruce Calvert

Page 6: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

AGRADECIMENTOS

Meus sinceros agradecimentos ao professor Gustavo Weber Denardin, por

todo o auxılio e dedicacao prestada durante o desenvolvimento deste trabalho.

Aos meus pais e familiares, por todo o apoio e incentivo.

A minha namorada Diana, por todo o companheirismo e motivacao.

Aos colegas de graduacao Pablo Henrique Seibert Jahno e Lucas Emanuel

Batistus Ferreira, pelo companheirismo e amizade durante o perıodo de graduacao.

Aos muitos colegas do curso de Engenharia Eletrica, pela amizade e troca

de conhecimentos tecnicos.

A Universidade Tecnologica Federal do Parana, pela infraestrutura disponi-

bilizada.

Page 7: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

RESUMO

RIGO, Darlan Antonio. Projeto de uma plataforma aberta para desenvolvimentode osciloscopios baseados em microcontroladores. 2017. 87 f. Monografia(Graduacao em Engenharia Eletrica) - Departamento Academico de Eletrica, Univer-sidade Tecnologica Federal do Parana, Pato Branco, 2017.

Propoe-se neste trabalho, uma implementacao de hardware e softwarede um Osciloscopio de Armazenamento Digital utilizando o kit de desenvolvimentoSTM32F429I. Inicialmente, e realizado uma contextualizacao teorica acerca da pro-blematica proposta, enfatizando os principais pontos do trabalho. Adiante, sao abor-dadas as implementacoes relacionadas a um front-end capaz de adequar sinais decorrente e tensao eletrica e, assim, esses sinais serem amostrados por um conversoranalogico-digital. Em relacao ao software embarcado, mencionam-se as bibliotecase estruturas empregadas. Na sequencia, sao apresentados os resultados pertinentesa medida de valores instantaneos, como, por exemplo, tensao pico a pico e eficaz.Ainda, referente aos resultados, a implementacao de um trigger manual, de uma in-terface grafica e uma FFT radix-2.

Palavras-chave: Osciloscopio. Processamento de sinais. STM32F429I.

Page 8: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

ABSTRACT

RIGO, Darlan Antonio. Project of an open platform for microcontrollers based os-cilloscopes design. 2017. 87 f. Monograph (Electrical Engineering Undergraduating)- Academic Department of Electrical Engineering, Technological Federal University ofParana, Pato Branco, 2017.

The purpose of this work is to implement the hardware and the software ofa Digital Storage Oscilloscope utilizing the development kit STM32F429I. Initially, arepresented the theoretical foundations related to the proposed problematic, focusing onthe main points of the project. Sequentially, are addressed the implementations relatedto a front-end able to adequate current and voltage signals and, henceforth, samplingthem to an analogic-digital converter. About the embedded software, are mentionedthe libraries and structures employed on it. Following, are shown the pertinent resultsof the instant values measuring (e.g., peak-to-peak and RMS voltage). Furthermore,referring to the results, it is addressed the implementation of a manual trigger, of agraphical interface and of a FFT radix-2.

Keywords: Oscilloscope. Signal processing. STM32F429I.

Page 9: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

LISTA DE FIGURAS

Figura 1: Circuito de captura e retencao simplificado. . . . . . . . . . . . . 21

Figura 2: Quantizacao de um sinal arbitrario. . . . . . . . . . . . . . . . . 22

Figura 3: Amostragem de um sinal de 2Hz com distintas frequencias de

amostragem: (a) 4Hz; (b) 8Hz; (c) 16Hz; (d) 40Hz. . . . . . . . 25

Figura 4: Amostragem de sinal com baixa taxa de amostragem. . . . . . 26

Figura 5: Redutor de amostragem (a) Fator M; (b) Fator M e filtro passa-

baixa com fcorte = π/M. . . . . . . . . . . . . . . . . . . . . . . . 27

Figura 6: Comparativo entre implementacoes de tempo-real crıtico, nao

crıtico e de proposito geral. . . . . . . . . . . . . . . . . . . . . . 30

Figura 7: Representacao de potencia complexa. . . . . . . . . . . . . . . 33

Figura 8: (a) Sımbolo esquematico para um amp-op; (b) Circuito equiva-

lente de um amp-op. . . . . . . . . . . . . . . . . . . . . . . . . 35

Figura 9: Filtro RC de 2ªordem. . . . . . . . . . . . . . . . . . . . . . . . . 35

Figura 10: Filtro Sallen Key de 2ªordem. . . . . . . . . . . . . . . . . . . . 36

Figura 11: (a) Resposta ideal vs Slew Rate; (b) Taxa de variacao de tensao. 37

Figura 12: Estrutura de atenuacao com: (a) Ganho variavel; (b) Divisor re-

sistivo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

Figura 13: Circuito equivalente de um resistor em alta frequencia. . . . . . 38

Figura 14: Circuito atenuador compensado em frequencia. . . . . . . . . . 39

Figura 15: Estrutura atenuadora em paralelo. . . . . . . . . . . . . . . . . . 40

Figura 16: Circuito somador nao inversor com amplificador operacional. . . 41

Figura 17: Kit de desenvolvimento STM32F429I. . . . . . . . . . . . . . . . 44

Figura 18: (a) Modulo de corrente ACS712; (b) Esquema eletrico ACS712. 45

Figura 19: Estrutura diagramada da interface grafica. . . . . . . . . . . . . 47

Figura 20: Tela inicial da interface grafica desenvolvia. . . . . . . . . . . . . 48

Figura 21: Tela principal em modo “Oscilloscope”. . . . . . . . . . . . . . . 48

Page 10: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

Figura 22: Banco atenuador resistivo compensado em frequencia com mul-

tiplexador e chave mecanica. . . . . . . . . . . . . . . . . . . . . 51

Figura 23: Canal de tensao com todos os elementos. . . . . . . . . . . . . 53

Figura 24: Simulacao do banco atenuador projetado. . . . . . . . . . . . . 54

Figura 25: Simulacao do canal de tensao para atenuacao x1, x10 e x20. . 55

Figura 26: Simulacao do circuito de comparacao. . . . . . . . . . . . . . . 55

Figura 27: Canal de corrente com todos os elementos. . . . . . . . . . . . 56

Figura 28: Simulacao do canal de corrente. . . . . . . . . . . . . . . . . . . 57

Figura 29: Simulacao do circuito de comparacao. . . . . . . . . . . . . . . 58

Figura 30: Placa de circuito impresso. . . . . . . . . . . . . . . . . . . . . . 61

Figura 31: Placa de circuito impresso prototipo. . . . . . . . . . . . . . . . . 62

Figura 32: Placa de circuito impresso prototipo acoplada ao kit STM32F429I. 63

Figura 33: Case confeccionado em impressora 3D. . . . . . . . . . . . . . 63

Figura 34: Prototipo confeccionado com todos os elementos. . . . . . . . . 64

Figura 35: Front-end completo. . . . . . . . . . . . . . . . . . . . . . . . . . 65

Figura 36: Sinal de tensao. . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

Figura 37: Sinal de entrada comparado a atenuacao de: (a) x10; (b) x10

(zoom). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

Figura 38: Sinal de entrada comparado a atenuacao de: (a) x20; (b) x20

(zoom). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

Figura 39: Sinal de entrada do ADC (azul) em comparacao ao sinal de en-

trada (amarelo) para atenuacao de: (a) x1; (b) x1 (zoom). . . . 68

Figura 40: Sinal de entrada do ADC (azul) em comparacao ao sinal de en-

trada (amarelo) para atenuacoes de: (a) x10; (b) x10 (zoom); (c)

x20; (d) x20 (zoom). . . . . . . . . . . . . . . . . . . . . . . . . 69

Figura 41: (a) Saıda do circuito comparador em relacao a entrada; (b) Atraso

de fase do circuito comparador. . . . . . . . . . . . . . . . . . . 69

Figura 42: Saıda do circuito comparador em: (a) 70kHz; (b) 120kHz. . . . 70

Figura 43: Medicao de corrente com o prototipo. . . . . . . . . . . . . . . . 71

Figura 44: Sinal de 50kHz amostrado a uma taxa de 1,5M amostras por

segundo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

Page 11: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

Figura 45: Resposta da FFT CMSIS implementada. Entrada: Senoidal,

1VPP , 50kHz e 500mV de off-set. . . . . . . . . . . . . . . . . . 73

Figura 46: Resposta da FFT CMSIS implementada. Entrada: Senoidal,

1VPP , 110kHz e 500mV de off-set. . . . . . . . . . . . . . . . . 74

Figura 47: Resposta da FFT CMSIS implementada. Entrada: Quadrada,

1VPP , 30kHz, 50% de duty-cycle e 500mV de off-set. . . . . . . 74

Figura 48: Resposta da FFT CMSIS implementada. Entrada: Triangular,

1VPP , 50kHz e 500mV de off-set. . . . . . . . . . . . . . . . . . 75

Figura 49: Resultado da FFT (a) Sinal senoidal; (b) Sinal quadrado. . . . . 75

Figura 50: Valores instantaneos: (a) Canal de tensao eletrica (CH1); (b)

Canal de corrente eletrica (CH2). . . . . . . . . . . . . . . . . . 77

Figura 51: Valores instantaneos de potencia (CH1 e CH2). . . . . . . . . . 78

Figura 52: Resposta a um sinal de tensao triangular. . . . . . . . . . . . . . 78

Figura 53: Resposta a um sinal de tensao quadrado. . . . . . . . . . . . . 79

Page 12: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

LISTA DE TABELAS

1 Relacoes de Fourier para distintos sinais. . . . . . . . . . . . . . . . . . 27

2 Analise em frequencia de sinais de tempo discreto periodico. . . . . . . 28

3 Analise em frequencia de sinais de tempo discreto nao periodico. . . . . 28

4 Analise em frequencia de sinais de tempo discreto periodico - DFT. . . . 29

5 Comparacao da complexidade computacional para o processamento di-

gital direto de um algoritmo DFT versus o algoritmo FFT radix-2. . . . . 29

6 Sensibilidade do sensor ACS712-xA . . . . . . . . . . . . . . . . . . . . 46

7 Descricao da interface em modo Osciloscopio . . . . . . . . . . . . . . . 49

8 Valores dos resistores dispostos na Figura 22. . . . . . . . . . . . . . . 51

9 Valores dos capacitores dispostos na Figura 22. . . . . . . . . . . . . . 52

10 Valores dos componentes para filtro passa-baixa. . . . . . . . . . . . . . 53

11 Principais componentes utilizados. . . . . . . . . . . . . . . . . . . . . . 64

Page 13: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

LISTA DE ABREVIATURAS E SIGLAS

ADC Analog-to-Digital Converter (Conversor analogico-para-digital)

ARM Advanced RISC Machine

BRTOS Brazillian Real-Time Operating System (Sistema operacional de

tempo-real brasileiro)

CA Corrente Alternada

CC Corrente Contınua

CCM Core-Coupled-Memory (Memoria acoplada ao nucleo)

CH1 Canal 1

CH2 Canal 2

DAC Digital-to-Analog Converter (Conversor digital-para-analogico)

DFT Discrete Fourier Transform (Transformada discreta de Fourier)

DMA Direct Memory Access (Acesso direto a memoria)

DMPIS Dhrystone Millions of Instructions Per Second (Milhoes de intrucoes

por segundo Dhrystone)

DSO Digital Storage Oscilloscope (Osciloscopio de armazenamento di-

gital)

DSP Digital Signal Processor (Processador de sinal digital)

FFT Fast Fourier Transform (Transformada rapida de Fourier)

FIFO First In, First Out (Primeiro a entrar, primeiro a sair)

FT Funcao Transferencia

I2C Inter-Integrated Circuit (Circuito inter-integrado)

LCD TFT Thin-Film Transistor Liquid Crystal Display (Transistor de pelıcula

fina LCD)

LSB Least Significant Bit (Bit menos significante)

MCU Microcontroller Unit (Unidade microcontrolada)

MUX Multiplexador

PWM Pulse-Width Modulation (Modulacao por largura de pulso)

RAM Random Access Memory (Memoria de acesso aleatorio)

RC Resistivo-Capacitivo

Page 14: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

RISC Reduced Instruction Set Computer (Computador com um conjunto

reduzido de instrucoes)

RMS Root Mean Square (Quadrado medio da raiz)

RTOS Real-Time Operating System (Sistema operacional de tempo-real)

SJF Shortest Job First (Processo mais curto primeiro)

SQNR Signal-to-Quantization-Noise Ratio (Relacao sinal-ruıdo de

quantizacao)

Page 15: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

LISTA DE SIMBOLOS

VPP Tensao eletrica pico a pico

IPP Corrente eletrica pico a pico

Tconv Tempo de conversao

Cclock,adc Ciclos de clock do conversor analogico-para-digital

Vqn,rms Erro de quantizacao em valores de tensao RMS

∆ Valor de quantizacao

fnyquist Frequencia de Nyquist

fmax Frequencia maxima

fSamost Frequencia de sobreamostragem

X[k] Coeficientes de Fourier para sinais periodicos

x[n] Sequencia periodica do sinal periodico

X(ω) Sinal de tempo discreto nao periodico

x[n] Sequencia periodica do sinal nao periodico

Vmax Tensao eletrica maxima

Vmin Tensao eletrica mınima

IPP Corrente eletrica pico a pico

Imax Corrente eletrica maxima

Imin Corrente eletrica mınima

fclock Frequencia de clock

Q Fator de qualidade

S Variavel complexa

j Representacao de componente imaginaria

f Frequencia do sinal

fC Frequencia de corte

VPK Tensao eletrica de pico

Z Impedancia eletrica

Page 16: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

SUMARIO

1 INTRODUCAO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

1.1 OBJETIVOS GERAIS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

1.2 OBJETIVOS ESPECIFICOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

2 FUNDAMENTACAO TEORICA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

2.1 CONVERSORES ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

2.1.1 Condicionamento de Tensao para Conversores ADC . . . . . . . . . . . . . . . . . . . 20

2.1.2 Captura e Retencao - Sample and Hold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

2.1.3 Quantizacao de Sinais e Codificacao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

2.1.4 Tempo de Conversao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

2.1.5 Erro de Quantizacao e Relacao Sinal-Ruıdo . . . . . . . . . . . . . . . . . . . . . . . . . . 23

2.2 O TEOREMA DA AMOSTRAGEM DE NYQUIST - SHANNON . . . . . . . . . . . . 23

2.2.1 Aliasing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

2.3 SOBREAMOSTRAGEM E DECIMACAO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

2.4 TRANSFORMADAS E SERIES DE FOURIER . . . . . . . . . . . . . . . . . . . . . . . . . . 27

2.4.1 Transformada de Fourier de Tempo Discreto e Transformada de Fourier

Discreta . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

2.4.2 A Transformada Rapida de Fourier - FFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

2.5 SISTEMA OPERACIONAL DE TEMPO-REAL - RTOS . . . . . . . . . . . . . . . . . . . 30

2.5.1 Brazillian RTOS - BRTOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

2.6 OPERACOES MATEMATICAS RELEVANTES . . . . . . . . . . . . . . . . . . . . . . . . . . 31

2.6.1 Calculo VPP e IPP de Sinais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

2.6.2 Calculo VRMS e IRMS de Sinais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.6.3 Frequencia de Sinais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.6.4 Potencia Eletrica . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

2.6.4.1 Potencia Eletrica Aparente . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

2.6.4.2 Potencia Eletrica de Pico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

2.6.4.3 Potencia Eletrica Media . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

2.7 AMPLIFICADORES OPERACIONAIS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Page 17: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.8 TOPOLOGIA SALLEN KEY DE 2ªORDEM PARA FILTROS ANALOGICOS . 35

2.8.1 Velocidade de Varredura - Slew Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

2.9 FRONT-END . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

2.9.1 Etapa de Atenuacao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

2.9.2 Etapa de Off-Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

2.9.3 Filtragem Anti-Aliasing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

3 DESENVOLVIMENTO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

3.1 ESTRUTURA DE SOFTWARE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

3.2 O KIT DE DESENVOLVIMENTO STM32F429I DISCOVERY . . . . . . . . . . . . . . 43

3.2.1 Direct Memory Access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

3.2.2 Timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

3.3 O MODULO SENSOR DE CORRENTE ACS712-5A . . . . . . . . . . . . . . . . . . . . . 45

3.4 INTERFACE GRAFICA E REQUISITOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

3.4.1 Biblioteca Grafica emWin SEGGER® . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

3.5 INTERFACE GRAFICA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47

3.6 AMBIENTE DE DESENVOLVIMENTO COIDE . . . . . . . . . . . . . . . . . . . . . . . . . . 50

3.7 FRONT-END . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

3.7.1 Canal de Tensao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

3.7.1.1 Simulacoes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.7.2 Canal de Corrente . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

3.7.2.1 Simulacoes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

3.8 IMPLEMENTACAO DA FFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.9 IMPLEMENTACAO DO TRIGGER MANUAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

3.10 PLACA DE CIRCUITO IMPRESSO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

4 RESULTADOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

4.1 FABRICACAO DO FRONT-END . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

4.2 COMPONENTES ELETRONICOS UTILIZADOS . . . . . . . . . . . . . . . . . . . . . . . . 64

4.3 MEDICOES COM OSCILOSCOPIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

4.3.1 Canal de Tensao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

4.3.2 Canal de Corrente . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

4.4 FILTRAGEM DIGITAL E DECIMACAO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

4.5 SINAL AMOSTRADO E FFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

Page 18: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.6 TRIGGER MANUAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

4.7 VALORES INSTANTANEOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

4.8 COMPORTAMENTO A DISTINTOS SINAIS DE TENSAO . . . . . . . . . . . . . . . . 78

4.8.1 Sinal Triangular de Tensao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

4.8.2 Sinal Quadrado de Tensao . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

5 CONCLUSAO E TRABALHOS FUTUROS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

5.1 CONCLUSAO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

5.2 TRABALHOS FUTUROS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

Page 19: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

17

1 INTRODUCAO

A evolucao da tecnologia tem feito com que a humanidade seja cada vez

mais dependente de sistemas eletricos, eletronicos e computacionais. Com o surgi-

mento dos dispositivos semicondutores e programaveis deu-se inıcio a uma enorme

evolucao nos conhecimentos de controle, preconizando a possibilidade da utilizacao

de dispositivos “inteligentes”. Nesse contexto, dispositivos de teste e de diagnostico

de sistemas eletricos e eletronicos recebem peculiar significancia, salientando-se os

multımetros e os osciloscopios (ALVES; ELECTROT, 1998).

Conforme descrito por Slomovitz (2015), multımetros sao ferramentas de

grande utilidade, pois sao compactos e capazes de realizar medicoes de grandezas

muito pequenas ate centenas de Volts (V) ou Amperes (A). Eles tambem permitem

realizar medidas de outras grandezas, como resistencia eletrica e temperatura. Ja

em analises com maior nıvel de complexidade, a utilizacao desse dispositivo impoe

limitacoes. Limitacoes essas que sao amortizadas com o emprego de um osciloscopio,

como, por exemplo, em analise de transitorios ou de distorcoes harmonicas (SCHNEI-

DER, 2013).

Desenvolvido no final do seculo XIX, os osciloscopios de raios catodicos

contribuıram e continuam contribuindo no desenvolvimento de inumeras aplicacoes. A

partir do surgimento de dispositivo denominado Osciloscopio de Armazenagem Digi-

tal, do ingles, DSO (Digital Storage Oscilloscope), a utilizacao dos osciloscopios de

raios catodicos comecou a reduzir significantemente. Um dos principais motivos para

isso foram as novas funcionalidades disponibilizadas pelos DSOs, que alem de pode-

rem ser utilizados em medicoes, realizam salvamento de telas, exportacao de dados,

megazoom e operacoes matematicas dos sinais amostrados, alem de outras funcio-

nalidades (DAHER, 2015; ALVES; ELECTROT, 1998; DIGITAL, 1984).

A crescente necessidade por sistemas cada vez mais complexos impulsi-

ona o desenvolvimento dos DSOs. O resultado desta crescente, e o surgimento de

dispositivos de afericao que atendam as atuais necessidades no desenvolver de no-

vos projetos. Nas engenharias, especificamente nos cursos de engenharia eletrica

e eletronica, o osciloscopio e um instrumento de grande necessidade em aquisicoes

de sinais ou em analises. Pelo fato de apresentarem alta impedancia de entrada, os

Page 20: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

1 INTRODUCAO 18

DSOs podem ser utilizados na analise de circuitos analogicos e digitais com precisao

(KARIM, 2014).

Nos ultimos anos, novas funcionalidades vem sendo implementadas nes-

ses equipamentos, tornando-os cada vez mais uteis e funcionais (BHUNIA et al., 2004;

Rohde&Schwarz, 2017). No entanto, devido as crescentes caracterısticas de otimizacao,

os DSOs tornaram-se mais caros e menos acessıveis para estudantes iniciantes de

nıvel de graduacao (BHUNIA et al., 2004; SHARMA et al., 2015; WAGH et al., 2014). Em

contrapartida, com o intuito de contornar a inviabilidade na aquisicao de um DSO,

apresentam-se trabalhos envolvendo medicoes de sinais eletronicos de tensao e de

frequencia eletrica com microcontroladores. Em muitos casos sao implementacoes in-

teligıveis, mas destinadas a aplicacoes simples e que resultam em uma otima relacao

custo-benefıcio (SHARMA et al., 2015). Porem, grande parte destas implementacoes,

ou necessitam de um computador para processamento dos valores amostrados, ou

se assemelham a instrumentos auxiliares de amostragem de dados e de armazena-

mento, que empregam custos e dependencias aos prototipos propostos ou, trabalhos

subsequentes para obtencao de resultados consistentes (SHARMA et al., 2015; CELMA

et al., 1992).

Impulsionado pelo crescente aprimoramento dos processos de manufatura

e de pesquisa sobre materiais semicondutores, os microcontroladores evoluıram tanto

em questao de processador, quanto em relacao as memorias. Processadores que

efetuavam suas operacoes com um unico nucleo, passaram a realizar suas tarefas

em conjuntos de multiplos nucleos. Memorias que apresentavam elevado consumo

de energia, com baixıssimas taxas de transferencias de dados, realizam tais funcoes

a elevadas taxas de transferencias e, com isso, consumindo uma parcela inferior de

energia em comparacao as memorias antecessoras. Ainda, devido ao surgimento

de novas metodologias de arquitetura e organizacao, estes dispositivos tornaram-se

aptos a realizar tarefas de maior complexidade e em frequencias de clock superiores

a 180 MHz, em media (ARCHITECTURES, 2000; ZHANG, 2014).

Devido a disponibilidade e facilidade ao acesso destes equipamentos,

torna-se possıvel a implementacao de plataformas destinadas ao desenvolvimento

de DSOs (BHUNIA et al., 2004). Assim, este trabalho de conclusao de curso, visa pro-

jetar uma arquitetura de hardware e software que viabilize a construcao de uma pla-

taforma aberta, livre, para DSOs. Tal dispositivo se apresenta como uma alternativa

para a realizacao de diagnostico de sistemas eletronicos, bem como permitira aos

academicos ou interessados, a possibilidade de possuir seu proprio DSO, facilitando

Page 21: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

1.1 OBJETIVOS GERAIS 19

a realizacao de projetos vinculados as disciplinas de seu curso, visto que o custo

empregado ao dispositivo proposto e relativamente baixo em comparacao a DSOs

comerciais. Comparacao esta que desconsidera os custos intelectuais envolvidos no

desenvolvimento. Ademais, contribuira para uma maior familiarizacao do usuario com

equipamentos de medicao, tanto para com o instrumento proposto, quanto para simi-

lares.

1.1 OBJETIVOS GERAIS

Desenvolver uma plataforma aberta para confeccao de um DSO com dois

canais analogicos. E, ainda, confeccionar uma ponteira de corrente eletrica capaz de

ser conectada as entradas analogicas especıficas do DSO, possibilitando a medicao

de grandezas contınuas e alternadas.

1.2 OBJETIVOS ESPECIFICOS

• Implementar uma interface de usuario com metodos de entrada e saıda para

disposicao das grandezas fısicas medidas;

• Desenvolver um sistema de trigger manual baseado em nıveis de tensao;

• Implementar atraves de bibliotecas ja desenvolvidas, operacoes que envolvam o

calculo de uma FFT (Fast Fourier Transform);

• Implementar medicoes de tensao e corrente eficaz (ou RMS - Root Mean

Square), VPP (tensao eletrica pico a pico), IPP (corrente eletrica pico a pico)

e frequencia. Na ocasiao da medicao de grandezas de tensao e corrente eletrica

simultanea, apresentacao de potencia eletrica aparente, de pico e media;

• Desenvolver um front-end capaz de adequar sinais de tensao e corrente eletrica

a serem amostrados.

Page 22: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

20

2 FUNDAMENTACAO TEORICA

Este capıtulo abrange uma contextualizacao teorica necessaria ao desen-

volvimento deste trabalho de conclusao de curso, apresentando os principais ele-

mentos empregados. Inicialmente, e apresentado uma breve introducao com carac-

terısticas pertinentes aos conversores analogicos-digitais. Teorias de amostragem,

tecnicas de sobreamostragem e decimacao, sao apresentados na sequencia. Re-

cursos de softwares empregados em conjunto a operacoes matematicas convencio-

nais tambem sao abordados. Por fim, apos definir algumas grandezas eletricas de

potencia, e realizada uma breve introducao acerca das teorias relacionadas a am-

plificadores operacionais, desenvolvimento de filtros analogicos e de um front-end

analogico.

2.1 CONVERSORES ADC

Um conversor ADC (Analog-to-Digital Converter ) e um dispositivo capaz

de realizar a amostragem de sinais eletricos, ou seja, converte um sinal analogico,

contınuo no tempo, em um amostrado, discreto no tempo, quantizado dentro de um

numero finito de valores inteiros, determinado pela resolucao caracterıstica do conver-

sor em bits. Em um conversor de 12 bits, por exemplo, o sinal de entrada e convertido

em amostras com valores entre 0 e 4095 (MARTIN, 2008).

2.1.1 CONDICIONAMENTO DE TENSAO PARA CONVERSORES ADC

Na conversao de sinais analogicos em sinais discretos, a utilizacao de con-

versores ADC torna-se imprescindıvel. No entanto, dificilmente o sinal a ser convertido

ajusta-se as faixas de tensoes de trabalho dos conversores, necessitando entao, um

condicionamento do sinal de entrada com circuitos analogicos passivos ou ativos. Co-

mumente, conversores ADC suportam tensoes de entrada entre 0V e 3V ou 0V e 5V

(STMICROELECTRONICS, 2007).

Page 23: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.1 CONVERSORES ADC 21

2.1.2 CAPTURA E RETENCAO - SAMPLE AND HOLD

Passado o estagio de condicionamento de sinais, existe um elemento na

entrada do conversor ADC que define o valor do sinal entre os intervalos de amostra-

gem. Este elemento e denominado circuito de captura e retencao, ou simplesmente

do ingles, Sample and Hold. Uma ilustracao simplificada pode ser vista na Figura 1

(MARTIN, 2008; SUNDSTROM, 2011).

Saída

C

Controle

Chave

eletrônicaBuffer

Entrada

analógica+

-

Figura 1: Circuito de captura e retencao simplificado.Fonte: Autoria propria.

Em relacao a Figura 1, o sinal amostrado passa por um buffer, cuja finali-

dade e o de apresentar uma alta impedancia de entrada ao circuito de medicao. Na

sequencia do buffer, tem-se incluso uma chave controlada eletronicamente, que abre

e fecha, conforme o perıodo de amostragem, permitindo a carga do capacitor C. Deste

modo, ao instante de tempo que a chave ficar aberta, esperando a proxima amostra-

gem, o capacitor armazena o valor da grandeza analogica a ser convertida. Essa

tensao contida em C, e mantida no circuito conversor por um buffer de saıda ate o

tempo completo da conversao (PROAKIS, 2007; OPPENHEIM, 2010).

2.1.3 QUANTIZACAO DE SINAIS E CODIFICACAO

Denomina-se quantizacao, o processo de adequacao dos nıveis de tensao,

em valores discretos finitos. Em um conversor ADC de 8 bits, por exemplo, e possıvel

representar 256 valores diferentes de 0 a 255. Entao, para uma situacao hipotetica, um

valor de tensao de 137,45V, tera de ser quantizado para 137V ou 138V, pois nao ha-

veria a possibilidade de representacao digital do valor real amostrado, caso a tensao

de entrada fosse contida de 0V a 255V. No entanto, ao passo que o valor e quanti-

zado, atribui-se um erro na amostra de -0,45V ou +0,55V respectivamente, ao qual,

denomina-se o nome de, erro de quantizacao, que ocasiona o surgimento de um sinal

aleatorio, chamado ruıdo de quantizacao (PROAKIS, 2007; OPPENHEIM, 2010).

Page 24: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.1 CONVERSORES ADC 22

A Figura 2 demonstra os sinais provenientes dos estagios de amostragem,

retencao e quantificacao, em comparacao ao sinal original. Perceba o aparecimento

do erro ou ruıdo de quantizacao, em comparacao do sinal amostrado com o sinal

quantificado. E alem, a discrepancia entre o sinal quantizado em relacao ao sinal

original.

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5−1

−0.8

−0.6

−0.4

−0.2

0

0.2

0.4

0.6

0.8

1

tempo [s]

Am

plitu

de [V

]

Sinal originalSinal amostrado e retidoSinal quantizado

Figura 2: Quantizacao de um sinal arbitrario.Fonte: Autoria propria.

Apos o sinal ser quantizado, a etapa seguinte e o de codificacao, em que,

o sinal de tensao e convertido para representacao digital (binaria).

2.1.4 TEMPO DE CONVERSAO

Entre as etapas de amostragem de sinais e conversao para dados digi-

tais, existe de forma intrınseca ao dispositivo conversor, o tempo mınimo exigido para

conversao de cada amostra. Ou seja, para a conversao de um valor analogico, o dis-

positivo necessita de um pequeno instante de tempo, sugerindo que, o tempo entre

uma amostra e outra, deva ser superior ao tempo de conversao do ADC (STMICRO-

ELECTRONICS, 2007). No kit de desenvolvimento STM32F429I, que sera descrito no

decorrer do trabalho, segundo STMicroelectronics (2007), o tempo de conversao para

12 bits e calculado a partir da Equacao 1

Tconv = Cclock,adc para amostragem+ (12 · Cclock,adc) , (1)

em que, o tempo respectivo aos Cclock,adc para amostragem, referem-se ao perıodo de

tempo em que a chave eletronica do conversor ADC, ilustrada na Figura 1, permanece

Page 25: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.2 O TEOREMA DA AMOSTRAGEM DE NYQUIST - SHANNON 23

fechada.

2.1.5 ERRO DE QUANTIZACAO E RELACAO SINAL-RUIDO

Quando um sinal contınuo no tempo e convertido por um conversor ADC

para uma representacao discreta digital, existirao faixas de valores analogicos de en-

trada que terao a mesma representacao digital devido ao processo de quantizacao,

descrito no item 2.1.3. Para determinar os efeitos de quantizacao de converso-

res ADC, devido a dependencia do erro de quantizacao sobre as caracterısticas

intrınsecas do sinal de entrada e a nao linearidade do quantizador, adotam-se abor-

dagens estatısticas ao estudo destes erros (PROAKIS, 2007). Assumindo que o erro de

quantizacao e aleatorio, e que, esta contido entre os intervalos de −∆2

a +∆2

, segundo

OnMyPHD (2016), obtem-se a Equacao 2 em valores de tensao RMS

Vqn,rms =

√√√√ 1

∫ + ∆2

−∆2

x2dx =

√√√√ 1

[x3

3

]+ ∆2

−∆2

=

√∆2

233+

∆2

233=

∆√12, (2)

em que, ∆ e equivalente ao bit de menor significancia (LSB) do conversor ADC.

Utilizando algumas propriedades estatısticas e definindo a potencia do erro

de quantizacao por V 2qn,rms, segundo Proakis (2007), pode-se expressar a relacao entre

sinal e ruıdo, atraves da Equacao 3

SQNR = 6, 02 · n∆ + 1, 25dB, (3)

sendo n∆, o numero de bits de quantizacao.

A Equacao 3, e comumente utilizada para definir a precisao necessaria do

conversor ADC utilizado. No entanto, devido as limitacoes na fabricacao dos conver-

sores ADC, o desempenho dos mesmos, e inferior ao valor teorico. Como resultado,

o numero efetivo de bits pode ser um pouco menor do que o numero de bits do con-

versor ADC. Por exemplo, um conversor de 12 bits pode possuir apenas 10 bits de

precisao, ao inves de 12 bits (PROAKIS, 2007).

2.2 O TEOREMA DA AMOSTRAGEM DE NYQUIST - SHANNON

O teorema da amostragem de Nyquist, e um fator fundamental no estudo

entre sinais de tempo contınuo e sinais de tempo discreto. Estabelece uma condicao

Page 26: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.2 O TEOREMA DA AMOSTRAGEM DE NYQUIST - SHANNON 24

suficiente em relacao a taxa de amostragem de sinais contınuos, de modo que, o

maximo de informacoes contidas no sinal contınuo, possa ser “adicionado” ao sinal de

tempo discreto (PROAKIS, 2007; OPPENHEIM, 2010).

De forma resumida, o teorema da amostragem define que, para se conse-

guir representar o mınimo das informacoes de um sinal de tempo contınuo em tempo

discreto, a taxa de amostragem devera ser duas vezes a frequencia maxima do sinal

que se deseja amostrar. De forma analıtica, o teorema e expresso pela Equacao 4

(PROAKIS, 2007)

fnyquist ≥ 2 · fmax. (4)

Em processos envolvendo processamento de sinais de forma digital, o re-

quisito mınimo estabelecido pela Equacao 4, em grande maioria dos casos, torna-se

inadequado, pois na reconstituicao de sinais, como exemplo, dependendo o sinal, po-

dera haver uma reconstituicao distorcida ou ate mesmo erronea do sinal real (PROAKIS,

2007).

2.2.1 ALIASING

Aliasing e o nome atribuıdo a ocorrencia de sobreposicao de espectro do

sinal amostrado, impossibilitando a recuperacao do sinal original. Comumente, proble-

mas com aliasing em amostragem de sinais, estao diretamente relacionados a baixas

taxas de amostragem. No entanto, este efeito pode ter relacao com demais fatores

que compoem um sistema amostrador, como por exemplo, os denominados filtros

anti-aliasing (OPPENHEIM, 2010).

Na Figura 3 tem-se um sinal arbitrario, contınuo e variante no tempo com

frequencia de 2Hz, sendo amostrado em diferentes taxas. Note que, na Figura 3a,

a frequencia de amostragem esta no limiar mınimo do teorema de Nyquist, e con-

forme pode ser visto, as informacoes necessarias do sinal original, nao foram obtidas,

impossibilitando sua reconstrucao. Da Figura 3b ate a Figura 3d, e apresentado o

mesmo sinal, porem, com taxas de amostragens superiores a frequencia de Nyquist.

Sendo assim, torna-se perceptıvel que, quao maior for a taxa de amostragem, mais

informacoes do sinal original se tera obtido, permitindo uma reconstrucao proxima ao

sinal real.

Apenas com o intuito de complementacao, a Figura 4 enfatiza a situacao de

aliasing ocorrida na Figura 3a, amostrando outro sinal de tensao arbitrario. Perceba

Page 27: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.3 SOBREAMOSTRAGEM E DECIMACAO 25

que, devido aos pontos amostrados serem esparsos entre si, a reconstituicao tornou-

se erronea, reconstituindo um sinal completamente diferente do original.

0 0.2 0.4 0.6 0.8 1−1

−0.5

0

0.5

1Frequência do sinal = 2Hz e Fs = 4Hz

tempo [s]

Am

plitu

de [V

]

(a)

0 0.2 0.4 0.6 0.8 1−1

−0.5

0

0.5

1Frequência do sinal = 2Hz e Fs = 8Hz

tempo [s]

Am

plitu

de [V

](b)

0 0.2 0.4 0.6 0.8 1−1

−0.5

0

0.5

1Frequência do sinal = 2Hz e Fs = 20Hz

tempo [s]

Am

plitu

de [V

]

(c)

0 0.2 0.4 0.6 0.8 1−1

−0.5

0

0.5

1Frequência do sinal = 2Hz e Fs = 40Hz

tempo [s]

Am

plitu

de [V

]

(d)

Figura 3: Amostragem de um sinal de 2Hz com distintas frequencias de amostragem: (a) 4Hz;(b) 8Hz; (c) 16Hz; (d) 40Hz.Fonte: Autoria propria.

2.3 SOBREAMOSTRAGEM E DECIMACAO

Em processos que envolvem a amostragem de sinais, uma tecnica bastante

disseminada e a chamada sobreamostragem, consistindo na obtencao de um maior

numero de amostras. A grande vantagem na utilizacao desta tecnica, e o de aumento

de resolucao do sinal amostrado, no entanto, para cada bit adicional de resolucao que

se deseje, o sinal devera ser sobreamostrado quatro vezes, conforme demonstrado

pela Equacao 5 (OPPENHEIM, 2010; ATMEL, 2005)

Page 28: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.3 SOBREAMOSTRAGEM E DECIMACAO 26

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1−1

−0.8

−0.6

−0.4

−0.2

0

0.2

0.4

0.6

0.8

1

tempo [s]

Am

plitu

de [V

]

Sinal originalSinal reconstituídoValores amostrados

Figura 4: Amostragem de sinal com baixa taxa de amostragem.Fonte: Autoria propria.

fSamost = 4n · fnyquist, (5)

em que n, representa a resolucao de bits desejado e fnyquist, duas vezes a frequencia

maxima do sinal que se deseje amostrar. No entanto, este metodo apresenta algu-

mas desvantagens, tal qual, a diminuicao da banda de amostragem e um aumento

significativo no consumo de recursos de processamento (ATMEL, 2005).

Realizada a sobreamostragem desejada, dependendo da aplicacao envol-

vida, e dos limites de processamento, uma selecao de dados devera ser realizada, e

a este processo, atribui-se o nome de decimacao ou down-sampling (HAYES, 2006).

Portanto, segundo Hayes (2006), reducao da taxa de amostragem por um fator inteiro

M, pode ser obtida selecionando cada M-esima amostra do vetor de dados amostrado,

conforme apresentado na Figura 5a.

Contudo, o processo de tomada das M-esimas amostras de dados, intro-

duzira aliasing no sinal amostrado, enfatizando assim, a necessidade da insercao de

um filtro passa-baixa de ganho unitario e com frequencia de corte de π/M em serie

com o decimador, como na Figura 5b. Em outras palavras, o decimador e um filtro

passa-baixa discreto com frequencia de corte π/M, o qual, e inserido anteriormente e

em serie ao down-sampler. Em relacao a frequencia de corte do filtro passa-baixa

discreto, a simbologia π, significa a frequencia de amostragem que e duas vezes a

frequencia do sinal amostrado, para fcorte = π/2, por exemplo, equivale-se dizer que

fcorte = FS/4.

Page 29: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.4 TRANSFORMADAS E SERIES DE FOURIER 27

M

Sinal decimado Sinal de amostras

discretas

(a)

M

Sinal

filtrado Sinal decimado Sinal de amostras

discretasFiltro PB

Ganho unitário

Corte = pi/M

(b)

Figura 5: Redutor de amostragem (a) Fator M; (b) Fator M e filtro passa-baixa com fcorte = π/M.Fonte: Autoria propria.

2.4 TRANSFORMADAS E SERIES DE FOURIER

A analise de Fourier e uma famılia de tecnicas matematicas, todas elas

baseadas na decomposicao de sinais em senoides. Segundo Oppenheim (2010), a

utilizacao de series ou transformadas de Fourier para sinais contınuos ou discretos,

periodicos ou nao periodicos, aplicam-se conforme disposicao da Tabela 1.

Tabela 1: Relacoes de Fourier para distintos sinais.

Sinal periodico no tempo Sinal nao periodico no tempo

Tempo contınuo Serie de Fourier Transformada de Fourier

Tempo discretoSerie de Fourier de

Tempo Discreto

Transformada de Fourier de

Tempo Discreto

Fonte: Adaptado de Haykin (2001).

No entanto, as analises de Fourier expressas na Tabela 1, sao definidas

para sinais com duracao infinita, inviabilizando a implementacao real das mesmas.

Sendo assim, na busca de alternativas viaveis a implementacoes reais, surge os estu-

dos para sinais de tempo discreto de duracao finita, considerando que em aplicacoes

praticas, a analise de frequencia de sinais e, geralmente, realizada por um processa-

dor digital de sinais (HAYKIN, 2001; OPPENHEIM, 2010).

2.4.1 TRANSFORMADA DE FOURIER DE TEMPO DISCRETO E TRANSFOR-MADA DE FOURIER DISCRETA

Para sinais de tempo discreto e periodicos, o uso da serie de Fourier de

tempo discreto, permite a realizacao da analise sem maiores problemas, pois, ambas

Page 30: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.4 TRANSFORMADAS E SERIES DE FOURIER 28

as equacoes de analise e de sıntese, sao discretas, conforme disposicao na Tabela 2

(HAYKIN, 2001).

Tabela 2: Analise em frequencia de sinais de tempo discreto periodico.

EQUACAO DE ANALISE X[k] =N−1∑n=0

x[n]e−j2πNkn

EQUACAO DE SINTESE x[n] =N−1∑k=0

X[k]ej2πNkn

Fonte: Adaptado de Haykin (2001).

Contudo, sinais de tempo discreto nao periodicos, possuem um espectro

de frequencia contınuo, dificultando sua representacao por processadores digitais de

sinais. E alem disso, a analise de sıntese apresenta uma integral, aumentando a

complexidade da implementacao digital, Tabela 3 (HAYKIN, 2001).

Tabela 3: Analise em frequencia de sinais de tempo discreto nao periodico.

EQUACAO DE ANALISE X(ω) =∞∑

k=−∞

x[n]e−jωn

EQUACAO DE SINTESE x[n] = 12π

∫2π

X[ω]ejωndω

Fonte: Adaptado de Haykin (2001).

De tal modo, torna-se interessante a utilizacao de equacoes de facil

avaliacao, para implementacoes digitais de algoritmos de analise de frequencia. Pois,

como mencionado, a implementacao digital da serie de Fourier de tempo discreto nao

e o problema, mas a implementacao da transformada de Fourier, por esta possuir

espectro de frequencia contınuo, torna-se a problematica (HAYKIN, 2001; OPPENHEIM,

2010; PROAKIS, 2007).

Sendo assim, o objetivo agora e: a partir de uma sequencia nao periodica

x[n], poder formar uma sequencia periodica x[k] e utilizar a serie de Fourier de tempo

discreto para representa-la. No entanto, como o objetivo deste trabalho nao con-

siste no aprofundamento teorico da analise de Fourier, e sim, em apenas gerir uma

introducao a analise, conclui-se, segundo Oppenheim (2010), Hayes (2006) e Hay-

kin (2001) que, quando a serie de Fourier de tempo discreto e empregada para a

representacao de sequencias nao periodicas, chama-se Transformada Discreta de

Fourier (DFT) e a equacao de analise e de sıntese sao expressas na Tabela 4 (HAYKIN,

2001; OPPENHEIM, 2010; PROAKIS, 2007).

Page 31: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.4 TRANSFORMADAS E SERIES DE FOURIER 29

Tabela 4: Analise em frequencia de sinais de tempo discreto periodico - DFT.

EQUACAO DE ANALISE X[k] =N−1∑n=0

x[n]e−j2πNkn

EQUACAO DE SINTESE x[n] = 1N

N−1∑k=0

X[k]ej2πNkn

Fonte: Adaptado de Haykin (2001).

2.4.2 A TRANSFORMADA RAPIDA DE FOURIER - FFT

Segundo Weisstein (2017), a Transformada Rapida de Fourier e um algo-

ritmo otimizado da Transformada de Fourier discreta. Desenvolvida para processado-

res de sinais digitais, sua otimizacao reduz o numero de calculos necessarios para

um numero N de amostras, de 2N2 para 2N log2N , em transformacoes com base 2

(radix-2), por exemplo. Para alem, implementacoes em base de 4 (radix-4) tambem

sao utilizadas (WEISSTEIN, 2017).

Os conceitos atribuıdos a implementacao de uma FFT baseiam-se em

propriedades de simetria e periodicidade de sinais. Sequencias de tamanho N ,

sao decompostas em sucessivas DFTs de menor tamanho, para apos, a realizacao

das analises de Fourier, poder ser empregada a implementacoes baseadas em

decimacoes no tempo ou na frequencia, com ambas, caracterizadas por aborda-

gem denominada divide-e-conquista (divide-and-conquer ) (OPPENHEIM, 2010; PROA-

KIS, 2007). A Tabela 5 realiza uma comparacao entre a complexidade computacional

empregada em operacoes envolvendo calculos diretos de uma DFT e a partir de abor-

dagens envolvendo um algoritmo FFT.

Tabela 5: Comparacao da complexidade computacional para o processamento digitaldireto de um algoritmo DFT versus o algoritmo FFT radix-2.

Numero depontos,N

Multiplicacoes emoperacao direta,

N2

Multiplicacoes comalgoritmo FFT,

N2

log2N

Fator de melhoriana velocidade deprocessamento

4 16 4 4,08 64 12 5,3

16 256 32 8,032 1.024 80 12,864 4.096 192 21,3128 16.384 448 36,6256 65.536 1.024 64,0512 262.144 2.304 113,8

1.024 1.048.576 5.120 204,8Fonte: Adaptado de Proakis (2007).

Page 32: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.5 SISTEMA OPERACIONAL DE TEMPO-REAL - RTOS 30

Atraves da Tabela 5, enfatiza-se uma reducao consideravel no proces-

samento digital envolvido, tanto para sequencias com pequeno numero de amos-

tras, quanto para sequencias de amostras de 1.024 pontos, conforme apresentado.

Note que, em operacoes envolvendo 1.024 pontos, obtem-se um fator de melhora

na velocidade do processamento de aproximadamente 205 vezes se comparado com

operacoes diretas de uma DFT no mesmo hardware.

2.5 SISTEMA OPERACIONAL DE TEMPO-REAL - RTOS

Diferentemente de sistemas operacionais de proposito geral, que priorizam

a interface com usuario, sistemas operacionais de tempo-real, frequentemente encon-

trados em sistemas embarcados, sao sistemas com rigoroso determinismo de tempo

na execucao de tarefas. Alem do mais, caracterizam-se pelo baixo consumo de pro-

cessamento e latencia entre a multiplexacao das tarefas em aplicacoes multitarefas e

no tempo de resposta a um evento (INSTRUMENTS, 2017).

Dentre as mais variadas abordagens e implementacoes de sistemas de

tempo-real, os mesmos classificam-se basicamente em: crıticos e nao-crıticos, res-

pectivamente do ingles, hard e soft RTOS. Denominacoes estas, associadas a seve-

ridade ao cumprimento das tarefas em um perıodo de tempo determinado (INSTRU-

MENTS, 2017). A ilustracao da Figura 6 apresenta a diferenca entre as tecnologias

mencionadas.

Figura 6: Comparativo entre implementacoes de tempo-real crıtico, nao crıtico e de proposito geral.Fonte: Adaptado de Instruments (2017).

Page 33: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.6 OPERACOES MATEMATICAS RELEVANTES 31

Note que, na Figura 6, um sistema de tempo-real crıtico realiza o processa-

mento de sua tarefa exatamente no perıodo determinado, enquanto os demais tipos

de sistemas, realizaram a tarefa, porem, sem qualquer determinismo. Outra distincao

importante acerca dos sistemas de tempo-real e o tipo do escalonador (elemento res-

ponsavel pelo gerenciamento e coordenacao da execucao dos processos segundo um

criterio) presente no sistema, podendo ser por prioridades FIFO, SJF ou Round-Robin

por exemplo. Ainda, um sistema de tempo-real pode ser classificado como preemptivo,

no qual, cada tarefa conforme sua prioridade possui um tempo de execucao definido,

e mesmo nao tendo sido concluıda, ao final deste tempo devera liberar a CPU para a

tarefa seguinte, ou como cooperativo, em que cada tarefa e executada do comeco ao

fim (ONTIME, 2017; FREERTOS, 2003).

2.5.1 BRAZILLIAN RTOS - BRTOS

O BRTOS e um sistema operacional de tempo real open-source, desenvol-

vido por um grupo de pesquisadores da Universidade de Santa Maria - RS. O sistema

comporta um kernel com escalonador preemptivo, em que, cada tarefa deve ser asso-

ciada a uma prioridade, totalizando 32 tarefas instaladas. O BRTOS possui variados

recursos de gerenciamento, como por exemplo, semaforos, mutex e filas. Alem disso,

oferece ports oficiais a diversas famılias de microcontroladores, incluindo a famılia

STM32F4xx (BRTOS, 2016).

2.6 OPERACOES MATEMATICAS RELEVANTES

Um dos objetivos especıficos deste trabalho, e apresentar ao usuario do dis-

positivo, a possibilidade de visualizacao de valores instantaneos do sinal amostrado,

conforme especificado no item 1.2. Sendo assim, nesta secao serao apresentadas as

equacoes utilizadas na implementacao destas funcionalidades.

2.6.1 CALCULO VPP E IPP DE SINAIS

Apos obter os valores de tensao maxima e mınima, corrente maxima e

mınima do sinal, em um determinado perıodo de tempo, tem-se respectivamente: Vmaxe Vmin, Imax e Imin. A partir disso, a implementacao desta operacao torna-se trivial,

conforme apresentam as Equacao 6 e 7

Page 34: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.6 OPERACOES MATEMATICAS RELEVANTES 32

VPP = Vmax − Vmin, (6)

e de forma analoga:

IPP = Imax − Imin. (7)

2.6.2 CALCULO VRMS E IRMS DE SINAIS

A corrente periodica RMS pode ser definida como a corrente CC que libera

a mesma potencia media para um resistor que a corrente periodica. Em operacoes

envolvendo DSPs, uma das possıveis implementacoes para obtencao de valores RMS

e disposta nas Equacoes 8 (XU, 2012; ALEXANDER, 2013)

IRMS =

√√√√√ w∑n=1

(In)2

w, (8)

e de forma similar para tensao eletrica RMS

VRMS =

√√√√√ w∑n=1

(Vn)2

w, (9)

em que w representa o numero de valores discretos considerados.

2.6.3 FREQUENCIA DE SINAIS

Partindo da utilizacao de um timer em modo captura, e de um sinal de borda

respectivo as variacoes de um sinal oscilatorio no tempo, pode-se obter a frequencia

do sinal desejado.

Para implementacao desta funcionalidade, a contagem do timer devera ser

limitada entre a ocasiao de duas bordas de subida ou de descida. Conhecida a

frequencia de clock do timer, basta utilizar a Equacao 10 para obtencao da frequencia

do sinal em questao,

f = contagens · 1

fclock. (10)

Page 35: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.6 OPERACOES MATEMATICAS RELEVANTES 33

2.6.4 POTENCIA ELETRICA

Potencia eletrica e uma medida de energia eletrica por unidade de tempo

que fornece a taxa de energia consumida ou produzida. Em circuitos puramente re-

sistivos, por exemplo, a medida da potencia consumida e obtida atraves da Equacao

11 (MEIER, 2006)

P = IV, (11)

ou ate mesmo, empregando a Lei de Ohm (V = R · I), a potencia eletrica de uma

carga puramente resistiva pode ser obtida usando a Equacao 12

P = I2R. (12)

Agora, considere a situacao de uma linha de transmissao de energia

eletrica, onde deve-se distinguir, a energia transmitida pela linha, da energia dissi-

pada pela linha. Poderia-se partir inicialmente, calculando a potencia de dissipacao

atraves da Equacao 11 ou da Equacao 12. Porem, tal possibilidade nao seria apropri-

ada, pois, uma linha de transmissao nao pode ser modelada como sendo apenas uma

carga resistiva, uma vez que, apresenta uma reatancia consideravel, ocasionando um

deslocamento de fases e tornando as operacoes um pouco mais complexas (MEIER,

2006). Sendo assim, a Figura 7 ilustra uma abordagem de potencia complexa.

Potência A

parente (S

)

Potência Real (P)

Potê

ncia

Reativa (

Q)

ϕ

Figura 7: Representacao de potenciacomplexa.Fonte: Adaptado de Meier (2006).

Perceba atraves da Figura 7 que, para cargas nao resistivas, a potencia

calculada atraves da Equacao 11 ou 12, constitui apenas uma parcela da potencia

total.

Page 36: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.7 AMPLIFICADORES OPERACIONAIS 34

2.6.4.1 POTENCIA ELETRICA APARENTE

Tanto para cargas puramente resistivas, quanto para cargas nao puramente

resistivas, as equacoes utilizadas para o mensuramento de potencia aparente sao as

mesmas. Contudo, ha uma distincao na componente angular (φ) presente na Figura 7,

a qual, possui influencia direta nas demais componentes complexas de potencia real

e reativa (MEIER, 2006).

Numa situacao particular, em que o angulo φ da Figura 7 seja zero, a com-

ponente de potencia reativa (Q) inexiste, fazendo com que o valor de potencia eletrica

aparente (S), seja igual ao valor de potencia ativa (P), podendo assim, P, ser calcu-

lada atraves das Equacoes 11 e 12. No entanto, para valores de φ que resultem em

COS(φ) 6= 1, tal afirmacao nao sera valida (MEIER, 2006).

2.6.4.2 POTENCIA ELETRICA DE PICO

Devido as grandezas de tensao e corrente eletrica variarem com o passar

do tempo, no caso de sinais alternados, abordagens envolvendo mensuramento da

potencia eletrica de pico poderao ser uteis.

Em implementacoes digitais envolvendo DSPs, a potencia eletrica de pico

de um sinal pode ser mensurada a partir da selecao do valor de maior amplitude entre

um intervalo de tempo definido.

2.6.4.3 POTENCIA ELETRICA MEDIA

Considerando uma carga puramente resistiva, onde a tensao e corrente

eletrica estao em fase entre si, pode-se obter a potencia eletrica media atraves da

Equacao 13 (MEIER, 2006)

PMEDIA = IRMS · VRMS. (13)

2.7 AMPLIFICADORES OPERACIONAIS

A Figura 8a mostra o sımbolo esquematico de um amplificador operacio-

nal (amp-op) convencional. Os amp-ops, sao caracterizados por apresentarem al-

tos ganhos de tensao, por possuırem alta impedancia de entrada, e uma impedancia

de saıda relativamente baixa. Comumente, quando operados na regiao linear, sao

Page 37: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.8 TOPOLOGIA SALLEN KEY DE 2ª ORDEM PARA FILTROS ANALOGICOS 35

utilizados em operacoes matematicas envolvendo sinais, tal como em, integracao,

diferenciacao e amplificacao. Ainda, na regiao de saturacao, podem ser empregados

como comparadores de tensao, filtros ou ate mesmo como geradores de onda qua-

drada (MALVINO, 2007). Uma representacao em circuito equivalente de um amp-op, e

apresentada na Figura 8b.

+VCC

-VEE

VSAÍDA

Entrada

não-inversora

Entrada

inversora

(a)

AV(V1 - V2)

RSAíDA

RENT

V1

V2

VSAÍDA

(b)

Figura 8: (a) Sımbolo esquematico para um amp-op; (b) Circuito equivalente de um amp-op.Fonte: Adaptado de Malvino (2007).

As resistencia de entrada e de saıda de um amp-op, sao representadas

pelas abreviacoes RENT e RSAIDA respectivamente. Sendo que, a tensao de saıda

(VSAIDA) e produto do ganho de tensao (AV ), pela diferenca de tensao nos terminais

de entrada do amp-op (V1 − V2) (MALVINO, 2007).

2.8 TOPOLOGIA SALLEN KEY DE 2ª ORDEM PARA FILTROS ANALOGICOS

Segundo Instruments (1999), a Figura 9 ilustra um circuito RC que forma um

filtro passa-baixa de segunda ordem. Realmente e um filtro de trivial implementacao,

no entanto, quando um fator de qualidade Q superior a 1/2 for requerido, variacoes

de topologias com a utilizacao de amplificadores operacionais sao utilizadas (INSTRU-

MENTS, 1999).R1

C2

R2

C1

Figura 9: Filtro RC de 2ª ordem.Fonte: Adaptado de Instruments (1999).

Page 38: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.8 TOPOLOGIA SALLEN KEY DE 2ª ORDEM PARA FILTROS ANALOGICOS 36

Uma das topologias existentes, e a conhecida topologia Sallen Key, a qual,

fazendo emprego de um amplificador operacional, possibilita a obtencao de um fator

de qualidade (Q) superior ao do circuito ilustrado pela Figura 9. Um filtro Sallen Key

de segunda ordem e apresentado na Figura 10 (INSTRUMENTS, 1999).

+

-

R1

C1

C2

R2

Figura 10: Filtro Sallen Key de 2ª ordem.Fonte: Adaptado de Instruments (1999).

Em analise ao circuito da Figura 10, segundo Instruments (1999), torna-se

possıvel a obtencao da funcao de transferencia ideal que descreve o filtro Sallen-Key,

Equacao 14

V0

Vi=

K

S2 (R1R2C1C2) + S (R1C1 +R2C1 +R1C2 (1−K)) + 1, (14)

em que define-se:

K = ganho da FT;

Q =

√R1R2C1C2

R1C1 +R2C1 +R1C2 (1− k); (15)

S = variavel complexa (j2πf );

fC =1

2π√R1R2C1C2

. (16)

2.8.1 VELOCIDADE DE VARREDURA - SLEW RATE

Internamente a um amp-op, ha a existencia de um capacitor denominado

capacitor de compensacao. A este elemento, atribui-se a funcao de impedir que

oscilacoes indesejaveis interfiram no sinal desejado, porem, do mesmo modo que,

satisfaz questoes de oscilacao, contribui ao agrego de uma limitacao na velocidade

de resposta do componente. Suponha que, na entrada do amp-op haja uma brusca

variacao de tensao, como por exemplo, o degrau de uma onda quadrada. Caso o amp-

op fosse ideal, apresentaria um comportamento similar ao expresso em linha contınua

Page 39: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.9 FRONT-END 37

pela Figura 11a (MALVINO, 2007).

RESPOSTA IDEAL

SLEW RATE

(a)

vsaída

t

(b)

Figura 11: (a) Resposta ideal vs Slew Rate; (b) Taxa de variacao de tensao.Fonte: Adaptado de Malvino (2007).

Porem, devido ao capacitor de compensacao, a tensao de saıda apresenta

a forma de uma onda exponencial devido a carga do capacitor (linha pontilhada).

Sendo assim, atraves da Equacao 17 define-se o slew rate necessario. Ainda, a

ilustracao na Figura 11b faz uma analogia a Equacao 17 (MALVINO, 2007),

SR =∆VSAIDA

∆t. (17)

Em outras palavras, o slew rate representa a resposta mais rapida que um

amp-op pode ter, e comumente, a unidade de medida utilizada e o V/µs (MALVINO,

2007). Para que uma onda senoidal, por exemplo, nao seja distorcida na passagem

por um amp-op, o slew rate mınimo devera satisfazer a Equacao 18,

SR = 2πfVPK , (18)

em que VPK representa a tensao de pico da forma de onda e, f a frequencia do sinal

ou frequencia de operacao.

2.9 FRONT-END

Front-end analogico e o nome atribuıdo ao conjunto de elementos empre-

gados a adequacao de tensoes amostradas por um conversor ADC, com o intuito de

garantir o maximo de resolucao possıvel do sinal amostrado. Um conjunto basico

front-end pode apresentar inumeras variacoes, no entanto, um emprego basico pode

ser composto pelas seguintes etapas: etapa de atenuacao, de acoplamento, de off-set

e etapa de filtragem anti-aliasing.

Page 40: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.9 FRONT-END 38

2.9.1 ETAPA DE ATENUACAO

Conforme mencionado, um dos principais objetivos de um front-end, e o da

garantia de maxima resolucao na manipulacao de sinais. E e na etapa de atenuacao

que grande parte desta resolucao podera ser mantida.

Tomando como exemplo, existem tanto atenuacoes de sinais mediante a

potenciometros digitais, quanto atenuacoes utilizando simplesmente divisores resis-

tivos de tensao. A Figura 12 apresenta estruturas basicas de ambas as opcoes de

projeto.

+

-

RF

R1

VE

VS

(a)

VE

VS

R1

R2

(b)

Figura 12: Estrutura de atenuacao com: (a) Ganho variavel; (b)Divisor resistivo.Fonte: Autoria propria.

Devido a simplicidade intrınseca de cada estrutura, ambas possuem vanta-

gens de implementacao e utilizacao, no entanto, apresentam inconvenientes. A estru-

tura empregada na Figura 12a dispoe de uma otima exatidao de atenuacao, porem,

a tensao de entrada ficaria limitada a alimentacao do amplificador operacional utili-

zado. Ja a estrutura disposta na Figura 12b, com o aumento da frequencia do sinal

de entrada a estrutura, o circuito se comportaria como um filtro passa-baixa devido a

efeitos parasitas intrınsecos aos componentes de capacitancia e indutancia, conforme

apresenta Figura 13 (MATZNER; LEVY, 2008).

C

L R

Figura 13: Circuito equivalente de umresistor em alta frequencia.Fonte: Autoria propria.

Page 41: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.9 FRONT-END 39

O que de acordo com Matzner e Levy (2008), pode ser expresso pela

Equacao 19

Z = jωL+

RjωC

R + 1jωC

=R

R2ω2C2 + 1+ jω

R2C2ω2L−R2C + L

R2ω2C2 + 1. (19)

As partes da Equacao 19, tanto parte real, quanto parte imaginaria, sao

dependentes de uma componente de frequencia. A parte real da impedancia apresen-

tada, e conhecida como “Effective Series Resistance” (ESR) (MATZNER; LEVY, 2008).

Para manter uma atenuacao constante ao longo de toda a banda de

frequencia, surge a necessidade da compensacao dos efeitos parasitas tanto do ele-

mento resistivo, quanto em relacao a capacitancia presente na ponteira de prova. Para

tal, uma alternativa e a utilizacao de um atenuador compensado em frequencia, con-

forme apresentado pela Figura 14.

C1P

R1

C2P

C1

R2 C2

Tensão de

saída

Tensão de

entrada

Figura 14: Circuito atenuador compensado emfrequencia.Fonte: Autoria propria.

Conforme ilustrado pela Figura 14, para compensar os efeitos de capa-

citancia parasita (C1P e C2P ) intrınsecos da nao-linearidade dos resistores e, da pon-

teira de prova, convenientemente, utiliza-se capacitores adicionais em paralelo aos

resistores, compensando assim, efeitos indesejados e mantendo atenuacao cons-

tante ao longo da banda de frequencia, minimizando o impacto de carga capacitiva

pertinente a ponteira de prova. Segundo RENE ROBERT et al. (1994), o valor dos

capacitores adicionais, devem ser obtidos a partir da relacao expressa atraves da

Equacao 20

Page 42: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.9 FRONT-END 40

R1C1 = R2C2. (20)

Definido os valores dos componentes necessarios, deve-se agora, definir

qual sera a estrutura atenuadora utilizada. Por escolha de projeto, este trabalho fara

uso de uma estrutura atenuadora em paralelo, conforme Figura 15, e por tal, apenas

esta estrutura sera abordada nesta fundamentacao.

/10

/20

CH1

CH2

CH3

ENTRADA SAÍDA

Figura 15: Estrutura atenuadora em paralelo.Fonte: Autoria propria.

Atraves dos interruptores CH1, CH2 e CH3 ilustrados na Figura 15, obtem-

se a selecao desejada para atenuacao. Porem, durante o perıodo de tempo que uma

das chaves permanecer fechada, as demais deveram obrigatoriamente permanece-

rem abertas.

2.9.2 ETAPA DE OFF-SET

Dependendo do conversor ADC utilizado, a tensao de entrada do conversor,

nao podera apresentar tensao negativa, conforme mencionado no item 2.1.1. Sendo

assim, apos a etapa de atenuacao, o sinal devera ser deslocado positivamente, para

que, mesmo o sinal original sendo negativo, o conversor ADC possa obter tensoes

variantes conforme especificacoes do mesmo, como por exemplo de 0V a 3V.

Uma alternativa viavel e a utilizacao de um circuito somador inversor com

ganho unitario, porem, devido a questao de inversao do sinal, a utilizacao de um cir-

cuito somador nao inversor, conforme Figura 16, dispensa circuitos adicionais.

Page 43: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

2.9 FRONT-END 41

R1

+

-

RG

RF

R2

V1

V2

Vsaída

Figura 16: Circuito somador nao inversor comamplificador operacional.Fonte: Autoria propria.

Atraves da disposicao do circuito da Figura 16, obtem-se a Equacao 21

Vsaida =

(1 +

RF

RG

)(V1R2 + V2R1

R1 +R2

), (21)

que em analise a esta, determinou-se o valor de resistencia eletrica de 10kΩ para os

resistores R1, R2, RG e RF , resultando em uma tensao de saıda VSaida igual a soma

de V1 e V2.

2.9.3 FILTRAGEM ANTI-ALIASING

Comumente empregado em etapas finais do front-end, antes do sinal ser

amostrado pelo conversor, conforme ja mencionado no item 2.2.1, o sinal devera pas-

sar por um estagio de filtragem de modo que, se possa garantir a frequencia de amos-

tragem em relacao a maxima frequencia do sinal que se pretende amostrar, corres-

pondendo ao teorema da amostragem. Nesta etapa, filtros passa-baixa das mais

diversas ordens podem ser empregados, porem, para o desenvolvimento deste traba-

lho, se optara pela utilizacao de um filtro de segunda ordem, o qual, ja apresentado na

Figura 10.

Page 44: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

42

3 DESENVOLVIMENTO

Neste capıtulo e apresentada a metodologia empregada no desenvolvi-

mento do trabalho. Inicialmente sera apresentada uma abordagem geral do desen-

volvimento e dos recursos empregados, ja o firmware relacionado a interface grafica

e descrito na sequencia. Os processos envolvendo o projeto do front-end sao ilus-

trados juntamente com as simulacoes realizadas por meio do software PSIM®. Na

sequencia, sao apresentadas as implementacoes da transformada rapida de Fourier

e do trigger manual. Por fim, e apresentado o projeto do front-end em uma placa de

circuito impresso.

3.1 ESTRUTURA DE SOFTWARE

Fazendo uso do sistema BRTOS, toda abordagem respectiva ao software

do prototipo foi desenvolvida em basicamente tres tarefas: a primeira tarefa deno-

minada “EmWin Task”, contem as configuracoes iniciais dos perifericos utilizados,

como por exemplo, inicializacao de bibliotecas, definicoes do display LCD, DMA, ADC,

operacoes matematicas e demais configuracoes; a segunda tarefa, “Plot Task”, cor-

responde aos acessos ao LCD; e a terceira tarefa, “Valores Instantaneos”, que integra

funcoes matematicas referente aos valores instantaneos.

Pelo fato do sistema a ser desenvolvido realizar tarefas que necessitem de

tempo para processamento diferente e, considerando a necessidade de que tarefas

prioritarias sejam processadas em tempo-real, enfatiza-se os benefıcios da utilizacao

de um RTOS no gerenciamento das tarefas envolvidas. Tarefas estas, conforme ja

mencionado no paragrafo anterior, separadas em consideracao ao tempo necessario

para processar cada uma delas, ou seja, as operacoes consideradas lentas, como e o

caso das operacoes envolvendo display grafico e calculos matematicos, sao mantidas

numa mesma tarefa, separadas das tarefas que envolvam operacoes de aquisicao de

sinais e processamento de dados, por exemplo. Empregando um RTOS ao gerencia-

mento do sistema, pode-se garantir que todas as tarefas sejam executadas e que, as

de maior prioridade sejam determinısticas, agregando confiabilidade ao sistema.

Page 45: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.2 O KIT DE DESENVOLVIMENTO STM32F429I DISCOVERY 43

Apos os sinais serem adequados ao conversor ADC presente no kit de

desenvolvimento, eles sao amostrados a uma taxa de fixa de 1,5 Mega amostras por

segundo. Enquanto estes dados sao amostrados e convertidos, o recurso DMA realiza

a transferencia destes dados para um local de memoria definido e, apos o preenchi-

mento por completo deste quadro de dados, uma interrupcao de buffer completo e

ocasionada. Considerando que o principal objetivo e adequar o numero de pontos

amostrados ao numero de pixels disponıvel na tela LCD do kit, que e de 320 pixels ho-

rizontais, conforme ocorre a selecao de escala de tempo, uma decimacao dos dados

amostrados devera ser realizada, com o intuito de sempre obter 320 valores. Porem,

para uma correta decimacao digital, torna-se necessario uma filtragem do quadro de

dados, etapa esta que antecede a etapa de decimacao, conforme ilustra a Figura 5b.

Selecionados os 320 pontos, eles serao apresentados ao usuario por meio da tela

grafica.

Ainda, os mesmos dados transferido para a memoria pelo recurso DMA sao

utilizados para outras funcionalidades, como por exemplo, os calculos instantaneos e

a FFT.

3.2 O KIT DE DESENVOLVIMENTO STM32F429I DISCOVERY

O microcontrolador STM32F429ZI utilizado no desenvolvimento pratico do

trabalho, pertence a famılia de microcontroladores STM32 da STMicroelectronics®, a

qual, baseia-se em torno de um nucleo de processador ARM® de 32 bits. E uma

famılia baseada nos nucleos RISC ARM Cortex-M7 de 32 bits, Cortex-M4F, Cortex

M3, Cortex M0+ e Cortex M0 (STMICROELECTRONICS, 2017).

Os projetos de nucleo ARM apresentam diversas opcoes configuraveis e

a STMicroelectronics determina a configuracao individual para usar em cada projeto,

alem de anexar seus proprios perifericos ao nucleo antes da confeccao do wafer de

silıcio. A serie F4 torna-se a primeira serie STM32 a ter DSP e instrucoes de ponto

flutuante, acrescentando maior velocidade de clock, memoria RAM estatica de 64 KB

CCM, full duplex I2C, melhor resposta em tempo real, alem de ADCs que permitem

amostragens em taxas elevadas. No clock maximo de 180MHz e execucao a partir da

memoria flash, o STM32F429 entrega 225 DMIPS a um consumo de corrente apro-

ximada de 260 µA/MHz, alem de possuir outros modos de eficiencia energetica com

menor consumo de energia (ARM, 2016; STMICROELECTRONICS, 2017; STMICROELEC-

TRONICS, 2016).

Page 46: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.2 O KIT DE DESENVOLVIMENTO STM32F429I DISCOVERY 44

Com relacao ao processamento grafico do kit, Figura 17, o STM32F429I

comporta a interface do controlador LCD-TFT com suporte dual-layer, permitindo as-

sim, um melhor uso do acelerador grafico Chrom-ART Accelerator, possibilitando uma

economia significativa de processamento do nucleo MCU. Alem disso, o display LCD

presente no kit apresenta tecnologia resistiva touch screen (STMICROELECTRONICS,

2017).

Figura 17: Kit de desenvolvimento STM32F429I.Fonte: Adaptado de DigiKey (2017).

3.2.1 DIRECT MEMORY ACCESS

O acesso direto a memoria e um recurso utilizado para fornecer alta velo-

cidade na transferencia de dados entre os perifericos e a memoria ou entre memoria

e memoria. Permite que os perifericos acessem diretamente a memoria RAM, man-

tendo os recursos da MCU livres para outras operacoes (STMICROELECTRONICS, 2007;

MARTIN, 2008).

O microcontrolador STM32F429I apresenta dois controladores DMA, totali-

zando 16 streams, 8 para cada controlador, que sao tratados em ordem de prioridade,

conforme configuracao do usuario. O dispositivo disponibiliza ao usuario alguns mo-

dos de armazenamento dos dados provenientes de perifericos, como, por exemplo,

modo buffer circular e duplo buffer (STMICROELECTRONICS, 2007).

3.2.2 TIMER

A necessidade por uma exata medida de tempo leva a utilizacao de tem-

porizadores. Na amostragem de sinais contınuos por um conversor ADC, por exem-

plo, a exatidao do temporizador tera influencia na qualidade do sinal amostrado e

Page 47: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.3 O MODULO SENSOR DE CORRENTE ACS712-5A 45

na reconstituicao de sinais atraves de conversores DAC (SUNDSTROM, 2011; MARTIN,

2008).

O microcontrolador STM32F429I possui 17 timers, sendo eles de 16 e 32

bits, operaveis a um clock maximo de 180MHz. Ainda, esse microcontrolador dis-

ponibiliza conexoes internas de streams entre perifericos, canais independentes para

modos de captura de entrada, captura de saıda, geracao de PWM e One-pulse mode

output (STMICROELECTRONICS, 2007).

3.3 O MODULO SENSOR DE CORRENTE ACS712-5A

O modulo de corrente ACS712 contem um sensor baseado no princıpio

fısico de efeito hall, que integra um filtro passa-baixa RC. A Figura 18a apresenta o

modulo descrito, enquanto a Figura 18b apresenta o esquema eletrico do modulo.

(a)

R1

1K

5VC1

100nF

C2

1nF

LED

5V

GND

FILT

VOUT

VCCIP+

IP+IP-IP-

1

2

3

4 5

6

7

8

ACS712

(b)

Figura 18: (a) Modulo de corrente ACS712; (b) Esquemaeletrico ACS712.Fonte: Adaptado de HAREENDRAN (2017), FILIPEFLOP(2017).

Page 48: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.4 INTERFACE GRAFICA E REQUISITOS 46

Basicamente, para utilizacao deste modulo, e necessaria uma fonte de

tensao de 5V para alimentacao. O modulo estando alimentado, a saıda Vout apre-

sentara uma tensao de 2,5V na ocasiao de nenhuma carga ser conectada entre os

pinos 1-2 e 3-4 do esquematico apresentado na Figura 18b. Assim que houver a pas-

sagem de corrente pelo sensor, a tensao de saıda Vout variara de 0V a 5V. Segundo

Allegro (2006), a banda de frequencia do modulo e de 80kHz.

Os sensores da famılia ACS712 sao divididos em tres grupo: para medicoes

de ate ±5A, ±20A e ±30A. A Tabela 6 apresenta a sensibilidade para cada grupo

descrito (ALLEGRO, 2006).

Tabela 6: Sensibilidade do sensor ACS712-xA

Corrente maxima Sensibilidade Unidade de medida±5A 185 mV±20A 100 mV±30A 66 mV

Fonte: Adaptado de Allegro (2006).

Devido a maior sensibilidade ser apresentada pelo sensor de ±5A, o de-

senvolvimento deste trabalho fara emprego do modulo de corrente ACS712-5A.

3.4 INTERFACE GRAFICA E REQUISITOS

Nesta secao e presentada a biblioteca grafica empregada ao desenvolvi-

mento grafico do trabalho, enfatizando a metodologia empregada e apresentando ima-

gens obtidas pela implementacao realizada.

3.4.1 BIBLIOTECA GRAFICA EMWIN SEGGER®

A biblioteca grafica emWin e um software proprietario SEGGER®, proje-

tado para fornecer uma interface grafica de usuario eficiente para qualquer aplicacao

que opere com graficos LCD. No entanto, versoes limitadas, porem gratuitas, sao dis-

ponibilizadas. emWin e compatıvel com ambiente de tarefa unica e multitarefa, com

qualquer RTOS comercial, podendo ser adaptada a qualquer tamanho fısico de display

com qualquer controlador LCD e MPU (SEGGER, 2017).

Page 49: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.5 INTERFACE GRAFICA 47

3.5 INTERFACE GRAFICA

O desenvolvimento da interface grafica foi totalmente realizado em lin-

guagem de programacao C, juntamente com a utilizacao do conjunto de estrutu-

ras graficas disponibilizadas pela biblioteca emWin SEGGER®. Entao, partindo

da premissa do desenvolvimento de uma interface intuitiva ao usuario, inicialmente

desenvolveu-se o diagrama apresentado na Figura 19. Pelo fato da biblioteca grafica

nao possuir suporte a acentuacao grafica, todas as palavras relacionadas a interface

apresentam-se em lıngua inglesa.

Figura 19: Estrutura diagramada da interface grafica.Fonte: Autoria propria.

Em referencia a Figura 19, os elementos descritos entre aspas (“ ”), repre-

sentam os botoes da interface grafica. Alem disso, de forma simplificada, e expresso

a acao efetuada nos pontos finais do diagrama.

Page 50: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.5 INTERFACE GRAFICA 48

A Figura 20 ilustra a tela inicial do prototipo, enquanto as imagens indicadas

apresentam as informacoes apresentadas ao pressionar os botoes enfatizados em

linha pontilhada vermelha.

Figura 20: Tela inicial da interface grafica desenvolvia.Fonte: Autoria propria.

A imagem indicada na Figura 20, respectiva ao botao ”About”, conforme

mencionado no diagrama da Figura 19, apresenta apenas informacoes respectivas a

versao do software gravado no microcontrolador. Ja a imagem respectiva ao botao

“Oscilloscope” e abordada com mais detalhes na Figura 21, e as indicacoes, descritas

na Tabela 7.

I II III IV VVI

VII

VIII

IX

X

XI

XII

Figura 21: Tela principal em modo “Oscilloscope”.Fonte: Autoria propria.

Page 51: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.5 INTERFACE GRAFICA 49

A selecao de canal (I - Figura 21) permite a selecao do canal 1 (CH1) e

canal 2 (CH2). Essa selecao tera influencia na selecao de amplitude de tensao e nas

opcoes de selecao de valores instantaneos. Na ocasiao de alteracao de parametros

de amplitude do CH2 e da selecao ou exclusao de algum valor instantaneo presente

na tela, por exemplo, o usuario devera primeiramente selecionar o canal, para apos,

altera-los. As amplitudes de tensao (II - Figura 21), referem-se ao valor de tensao

atribuıdo a cada divisao vertical do grid, com valores entre 20mV a 75V. O ajuste de

escala de tempo (III - Figura 21) podera ser realizado entre 50µs e 250ms.

Tabela 7: Descricao da interface em modo Osciloscopio

Item Descricao

I Selecao de canal

II Selecao de amplitude de tensao

III Selecao de escala de tempo

IV Selecao da atenuacao da ponteira

V Operacao matematica de FFT

VI Habilitacao de canal

VII Menu de valores instantaneos

VIII Pausa/Executa

IX Trigger

X Canal 1

XI Canal 2

XII GridFonte: Autoria propria.

Dependendo da amplitude do sinal a ser medido, o usuario devera selecio-

nar a atenuacao relacionada a ponteira utilizada, optando pela selecao de 1X ou 10X

(IV - Figura 21). Na ocasiao da realizacao da FFT (V - Figura 21), o usuario, apos

ajustar ciclos completos do sinal medido na tela, devera pressionar o botao respec-

tivo a operacao matematica de FFT, que exibira uma tela com o respectivo grafico em

frequencia (nas secoes seguintes, sera abordado tal funcionalidade em maiores deta-

lhes). O item VI - Figura 21, oferece ao usuario a possibilidade de escolha do canal a

ser exibido na tela: canal 1, canal 2 ou ambos.

Pressionando o botao ”USER”, mapeado no proprio kit de desenvolvimento,

aparecera ao lado direito da tela (VII - Figura 21), algumas opcoes de valores ins-

tantaneos, com possibilidade de selecao conforme objetivos especıficos, item 1.2. A

Page 52: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.6 AMBIENTE DE DESENVOLVIMENTO CoIDE 50

funcionalidade de trigger, refere-se ao elemento IX da Figura 21, enquanto os elemen-

tos X e XII, sao os sinais dos canais 1 e 2 do dispositivo. Por fim, o elemento XII da

Figura 21, e a escala de grid, possuindo quatro divisoes verticais e quatro divisoes

horizontais. Ainda, os dois grids verticais inferiores, representam sinais de tensao

eletrica negativa, enquanto os superiores, tensoes eletricas de magnitude positiva.

3.6 AMBIENTE DE DESENVOLVIMENTO COIDE

A plataforma de desenvolvimento CoIDE® e um ambiente integrado livre

que se concentra em microcontroladores ARM Cortex-M0, M0+, M3 e M4. E uma

versao da cadeia de ferramentas Eclipse + GCC (GCC-ARM-Embedded) dedicada ao

desenvolvimento embarcado (COIDE, 2017). O desenvolvimento do software embar-

cado deste trabalho foi inteiramente realizado no ambiente CoIDE.

3.7 FRONT-END

O front-end comportara duas ponteiras de medicao, uma destinada a

medicao de tensao eletrica e uma segunda para medicao de corrente eletrica.

O desenvolvimento dos circuitos integrantes do front-end, antes da conversao em

representacao digital, consistira em adequar o sinal de entrada em uma faixa de

tensao variante de 0V a 3V. Em geral, o front-end respectivo ao canal de tensao sera

integrado pelos seguintes circuitos: um banco atenuador controlado digitalmente por

um MUX analogico-digital, buffers de tensao para desacoplamento de impedancias,

circuito somador nao-inversor para off-set de tensao, filtro passa-baixa Sallen-Key de

segunda ordem anti-aliasing e circuito comparador para medida de frequencia. Ja o

canal respectivo a medicao de corrente comportara um sensor de efeito hall, um filtro

passa-baixa anti-aliasing (integrado ao modulo de corrente) e um circuito comparador

utilizado para medicao de frequencia.

3.7.1 CANAL DE TENSAO

Inicialmente definiu-se que o banco atenuador teria tres estagios de

atenuacao, conforme Figura 15, e que seria um divisor resistivo compensado em

frequencia, conforme disposicao ilustrada na Figura 22.

Page 53: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 51

MUX

R1

R2

R3

C1

C2

C3S0S1

1

2

SW1

SW2VS2

VS3

VE

Figura 22: Banco atenuador resistivo compensado emfrequencia com multiplexador e chave mecanica.Fonte: Autoria propria.

Para determinar os valores dos elementos resistivos R1, R2 e R3, anali-

sando o circuito da Figura 22 e, definindo a resistencia de entrada para a ponteira de

tensao em 1MΩ, para reduzir a insercao de efeito de carga no circuito a ser medido,

obtem-se o sistema de Equacoes 22.

R1 +R2 +R3 = 1M

VS2 =VE (R2 +R3)

R1 +R2 +R3

=1

10VE

VS3 =VER3

R1 +R2 +R3

=1

20VE

(22)

Resolvendo o sistema linear 22, em relacao as variaveis R1, R2 e R3, sao

obtidos os valores dispostos na Tabela 8.

Tabela 8: Valores dos resistores dispostos na Figura 22.

Elemento Valor Unidade demedida

R1 900k ΩR2 50k ΩR3 50k Ω

Fonte: Autoria propria.

Page 54: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 52

Na sequencia, para definir os valores dos capacitores compensadores C1,

C2 e C3, fez-se uso da Equacao 20. Os valores obtidos sao apresentados na Tabela 9.

Tabela 9: Valores dos capacitores dispostos na Figura 22.

Elemento Valor Unidade demedida

C1 101 pFC2 1,82 pFC3 1,82 pF

Fonte: Autoria propria.

Devido ao fato do MUX empregado nao suportar tensoes de entrada supe-

riores a sua propria tensao de alimentacao, optou-se em utilizar uma chave mecanica

(destaque em linhas pontilhadas - Figura 22) em conjunto com o multiplexador, evi-

tando assim, que por descuido do usuario, acarretasse danos ao componente. Pois,

como a selecao de atenuacao atraves do MUX, e dependente da escala de amplitude

(selecionavel pelo usuario), dependendo desta, e da tensao de entrada, a tensao nos

terminais do MUX podera ultrapassar os limites toleraveis. Sendo assim, com o uso

da chave manual, para tensoes inferiores a 1,5VPP , e possıvel que o sinal possa entrar

sem qualquer atenuacao, mantendo a resolucao do mesmo. No entanto, caso o sinal

seja superior a 1,5VPP , havera saturacao dos amplificadores operacionais envolvidos,

ocasionando distorcoes do sinal. Entao, alterando a posicao da chave manual podera

haver atenuacoes de 10x ou 20x, conforme selecao digital dos pinos S0 e S1 do MUX,

Figura 22.

Apos a etapa de atenuacao, seja qual for o sinal de entrada ele estara de-

finido entre faixas de tensao de -1,5V e +1,5V. Sendo assim, um fator de off-set deve

ser atribuıdo para tornar o sinal totalmente positivo. Para isso, optou-se pela utilizacao

de um somador nao-inversor (Figura 16), adicionando uma componente de tensao

contınua de +1,5V ao sinal. Na sequencia, e necessario utilizar um filtro anti-aliasing,

porem, antes do filtro, sera feito o uso de um buffer de tensao, evitando, assim, in-

terferencias eletricas entre circuitos. O filtro utilizado, conforme ja mencionado, sera

um passa-baixa de segunda ordem butterworth e topologia Sallen-Key (Figura 10).

Considerando a largura de banda de frequencia do projeto de 150kHz, optou-se por

definir a frequencia de corte do filtro anti-aliasing em 200kHz (taxa de amostragem do

ADC em 1,5M amostras por segundo) e ganho unitario. Os valores dos componentes

obtidos a partir do software Matlab®, sao dispostos na Tabela 10.

Page 55: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 53

Tabela 10: Valores dos componentes para filtro passa-baixa.

Componente ValorUnidade de

medida

R1 300 Ω

R2 300 Ω

C1 3,9 nF

C2 2,0 nFFonte: Autoria propria.

Por fim, pelo fato da medicao de frequencia de forma digital nao ser ade-

quada, considerando a resolucao dos timers dispostos pelo RTOS, o sinal provido do

circuito somador e comparado analogicamente a um valor de tensao especıfico, com

o objetivo de, em saturacao, gerar um sinal quadrado para o calculo de frequencia. A

Figura 23 apresenta a disposicao final com todos os circuitos citados.

GPIO

MUX

S0S1

1

2

SW1

SW2

+

-

+

-

900k

50k

50k

101p

1,8n

1,8n

10k

10k

10k

300 300

3,9n

2n

+ -

10k

1,5VBanco AntenuadorSomador Não-Inversor

Buffer

Filtro Anti-Aliasing

Chave Mecanica^

+

-

1n

+ -1,6V

Comparador

ADC+

-

3,3

3,3

Figura 23: Canal de tensao com todos os elementos.Fonte: Autoria propria.

A tensao de comparacao de 1,6V, disposta na Figura 23, foi definida com o

objetivo de dispor de um nıvel de histerese de 100mV acima do eixo de 0V do sinal de

entrada, que apos o estagio de off-set tornou-se 1,5V. Alem disso, conforme apresenta

a Figura 23, optou-se pela utilizacao de diodos zener, tanto na entrada do conversor

ADC, quanto no pino de entrada GPIO. Fazendo uso dos diodos zener, pode-se garan-

tir que nenhuma tensao superior aos limites de entrada dos perifericos (GPIO e ADC)

seja inserida nestes perifericos. Pelo fato de os amplificadores operacionais serem ali-

Page 56: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 54

mentados em single-supply, garante-se tambem, que nenhuma tensao negativa esteja

presente.

3.7.1.1 SIMULACOES

Com a utilizacao do software de simulacao eletrica PSIM® tornou-se

possıvel a obtencao da Figura 24 que apresenta os estagios de atenuacao de x10

e x20 do banco atenuador expresso pela Figura 15. O sinal de entrada e uma senoide

com amplitude de 1V de pico e frequencia de 50kHz, somada a um ruıdo senoidal com

amplitude de 200mV de pico e frequencia de 500kHz.

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

x 10−4

−1.5

−1

−0.5

0

0.5

1

1.5

Tempo [s]

Am

plitu

de [V

]

EntradaAtenuação x10Atenuação x20

Figura 24: Simulacao do banco atenuador projetado.Fonte: Autoria propria.

O estagio de atenuacao de x1 nao foi apresentado na Figura 24 por ser

o mesmo sinal da entrada. Por meio da simulacao realizada percebeu-se que a

atenuacao ocorre conforme especificacao de projeto. Englobando todos os elementos

que compoem o canal de tensao, a Figura 25 apresenta o sinal de saıda do circuito

apresentado na Figura 23, para o mesmo sinal de entrada descrito anteriormente.

Ainda, e importante ressaltar que na Figura 25 todos os estagios de atenuacao se

comportam da mesma maneira, tanto na questao de off-set, quanto em relacao a fil-

tragem.

Page 57: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 55

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

x 10−4

−1.5

−1

−0.5

0

0.5

1

1.5

2

2.5

3

Tempo [s]

Am

plitu

de [V

]

EntradaSaída x1Saída x10Saída x20

Figura 25: Simulacao do canal de tensao para atenuacao x1, x10 e x20.Fonte: Autoria propria.

Na sequencia, tem-se uma simulacao envolvendo o circuito comparador

ilustrado na Figura 23. Para essa simulacao, utilizou-se o mesmo sinal de entrada das

simulacoes anteriores. A Figura 26 apresenta o resultado.

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1

x 10−4

−1.5

−1

−0.5

0

0.5

1

1.5

2

2.5

3

3.5

Tempo [s]

Am

plitu

de [V

]

EntradaSaída x1Saída Trigger

Figura 26: Simulacao do circuito de comparacao.Fonte: Autoria propria.

Page 58: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 56

Destaca-se que na Figura 26, o estado da saıda do circuito comparador,

denominada por “Saıda Trigger ” (por ser um sinal de disparo), alterna com a passagem

do sinal de saıda pela tensao aproximada de 1,6V, conforme projeto.

3.7.2 CANAL DE CORRENTE

O desenvolvimento do canal de corrente foi baseado na utilizacao do

modulo de corrente ACS712-5A apresentado na Figura 18a. Empregou-se, ainda,

um estagio de atenuacao fixa, com o objetivo de adequar os nıveis de tensao da saıda

do modulo de corrente de 0V a 5V para 0V e 3V. Na sequencia, fez-se necessario a

utilizacao de um buffer de tensao para desacoplamento de impedancias. Alem disso,

na saıda do modulo de corrente e inserido um comparador para calculo da frequencia

do sinal. O circuito completo e apresentado na Figura 27.

+

-

Buffer

+

-

1n

+ -2,5V

Comparador

3,3

Módulo de

corrente

0V

2,5V

5V

0V

1,5V

3V

-5A

0A

+5A

3,3

Div

iso

r re

sis

tivo 10k

15k

ADC

GPIO

Figura 27: Canal de corrente com todos os elementos.Fonte: Autoria propria.

Destaca-se que na entrada nao inversora do amplificador operacional do

circuito comparador ha um capacitor. Como o objetivo do circuito e de medir a

frequencia do sinal, tem-se interesse apenas sobre a componente CA do sinal, para

isso, utilizando um capacitor de baixa capacitancia (≈ 1nF , definido atraves de testes

praticos), retira-se a componente CC do mesmo, sem atribuir modificacoes significati-

vas.

Page 59: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.7 FRONT-END 57

Ainda, em relacao ao circuito da Figura 27, assim que houver passagem

de corrente pelos terminais do modulo de corrente, havera uma respectiva tensao na

saıda do modulo, variando de 0V a 5V. Esse sinal passa por um divisor resistivo para

ser adequado de 0V a 3V e em seguida por um buffer de tensao ate a entrada do

conversor ADC. O sinal de saıda do modulo de corrente passa por um comparador de

tensao, com o objetivo de gerar uma onda quadrada de tensao, respectiva a frequencia

do sinal.

3.7.2.1 SIMULACOES

Dando continuidade as simulacoes dos elementos constituintes do front-

end, a Figura 28 apresenta o resultado da simulacao dos circuitos envolvidos no canal

de corrente. Na simulacao, Figura 28, inseriu-se um sinal de corrente alternada com

amplitude de 5A e com frequencia de 1kHz, ainda, somou-se um sinal de corrente com

amplitude de 200mA e frequencia de 100kHz no modulo de corrente esquematizado

na Figura 18b.

0 0.5 1 1.5 2 2.5 3

x 10−3

−1

0

1

2

3

4

5

6

Tempo [s]

Am

plitu

de [V

]

Corrente de EntradaTensão de Saída do MóduloTensão de Saída do Módulo FiltradaEntrada ADC

Figura 28: Simulacao do canal de corrente.Fonte: Autoria propria.

Levando em consideracao a frequencia de corte do filtro RC integrado no

modulo de corrente, ter sido alterada de 80kHz para 40kHz, atraves da substituicao do

elemento capacitivo em destaque na Figura 18b, evidencia-se a atenuacao da compo-

nente CA de 100kHz presente no sinal de saıda do modulo de corrente (cor vermelha),

Page 60: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.8 IMPLEMENTACAO DA FFT 58

em comparacao ao sinal anterior a filtragem (cor ciano). Ainda em relacao a Figura

28, e apresentado o sinal de entrada do ADC (cor verde) que, conforme projeto do

divisor resistivo mostrado na Figura 27, adequou as amplitudes do sinal convertido, de

0V a 5V para 0V a 3V conforme limitacoes do conversor ADC.

Por fim, a Figura 29 apresenta o sinal de saıda do circuito de comparacao

do canal de corrente, no qual, e possıvel perceber que o nıvel do sinal de saıda do

circuito comparador e alterado a medida que o sinal de saıda do modulo de corrente

se aproxima da tensao de comparacao de 2,5V.

0 0.5 1 1.5 2 2.5 3 3.5 4

x 10−3

−1

0

1

2

3

4

5

6

Tempo [s]

Am

plitu

de [V

]

Tensão de Saída do MóduloSaída do Trigger

Figura 29: Simulacao do circuito de comparacao.Fonte: Autoria propria.

3.8 IMPLEMENTACAO DA FFT

Na implementacao da FFT, desenvolveu-se um algoritmo utilizando as

funcoes matematicas existentes na biblioteca CMSIS. A seguir e apresentado um

pseudo-codigo da implementacao.

1

2 /* Inclus~oes da biblioteca CMSIS */

3 #include "arm_math.h"

4 #include "arm_common_tables.h"

5

Page 61: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.9 IMPLEMENTACAO DO TRIGGER MANUAL 59

6 /* Inicializac~ao do modulo FFT */

7 arm_cfft_radix2_init_f32 (&S,512 ,0 ,1);

8 /* Calculo da FFT */

9 arm_cfft_radix2_f32 (&S,Input);

10 /* Calculo de magnitude da FFT */

11 arm_cmplx_mag_f32(Input ,buffer_output_mag ,512);

12 /* Retorna index e valor de maior magnitude */

13 arm_max_f32 (&( buffer_output_mag) ,1024,& maxValue ,& maxvalueindex);

A funcao da linha 8 refere-se a operacao real da FFT que, na

implementacao deste trabalho, realiza as analises de Fourier com um vetor de 512 va-

lores complexos. Antes dos dados amostrados serem passados a respectiva funcao,

eles sao alocados nas posicoes pares de um vetor de 1.024 posicoes, sendo as

posicoes ımpares igualadas a zero, para que, deste modo, a funcao CMSIS realize

a operacao corretamente. Os valores complexos processados sao alocados em um

vetor de mesmo tamanho que o vetor de entrada. Entao, atribuindo o vetor de dados

a funcao da linha 11, obtem-se a magnitude para cada valor complexo, resultando em

um numero de dados igual a 512. Devido as propriedades intrınsecas da analise de

Fourier (espelhamento do espectro de frequencia) restarao 256 valores uteis.

Ainda, sendo que a resolucao de frequencia da FFT e dependente de seu

comprimento e da taxa de amostragem do sinal de entrada em espacamentos de

frequencia iguais a FS/N, sabe-se a frequencia que cada valor resultante da FFT re-

presenta. Devido as caracterısticas de frequencia negativa dos sinal em analise, apos

o calculo da FFT, os 256 dados finais sao descartados por serem analogos aos 256

primeiro. Os 256 dados restantes sao multiplicados por 2, com excecao do bin de

frequencia zero. Ainda, para que os valores de resposta sejam normalizados, eles sao

divididos pelo numero de dados utilizado na FFT.

3.9 IMPLEMENTACAO DO TRIGGER MANUAL

Baseado em nıveis de tensao, a funcionalidade trigger implementada neste

trabalho e apresentado no pseudo-codigo abaixo.

1 tmp = ValoresAmostrados [0];

2 for 0:k:TamanhoVetorDados

3 if (( ValoresAmostrados[k] >= (tmp + 30))&&( status == 0))

4 status = 1;

5

Page 62: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.10 PLACA DE CIRCUITO IMPRESSO 60

6 tmp = ValoresAmostrados[k];

7 if (status == 1)

8 Pixels = ValoresAmostrados[k] * FatorConvers~ao;

9 if (Pixels >= LinhaTriggerManual_Pixels)

10 Indice_deslocado = k;

11 status = 0;

12 break;

13

14

15 if(k > TamanhoVetorDados)

16 Indice_deslocado = TamanhoVetorDados;

17 break;

18

19

O pseudo-codigo descrito realiza uma varredura do vetor de dados bus-

cando uma borda de subida definida em valores de conversao do ADC. Antes de cada

quadro de dados ser mostrado na tela, o mesmo e varrido em busca dessas bordas

pre definidas, que na implementacao realizada e de 30 conversoes. Sendo assim, o

sinal apresentado ao usuario aparenta estar parado no tempo, mas, na realidade, ele

esta sendo atualizado periodicamente (atualizacao a cada 250ms). Porem, devido a

periodicidade dos sinais, as novas atualizacoes terao o mesmo formato dos dados an-

teriores, ocasionando a impressao de sinal estatico. Contudo, o pseudo-codigo acima

fornece o numero de posicoes, do quadro de dados, que deverao ser desprezadas

antes de imprimir na tela. Ou seja, se durante a varredura do quadro de dados for

encontrada uma borda de subida na posicao do vetor de ındice 10, por exemplo, na

ocasiao de escrita na tela, ao inves dos dados apresentados iniciarem na posicao de

ındice zero, o inıcio sera na posicao de ındice 10. Ainda, outra questao relevante e

sobre a implementacao do trigger, neste trabalho ela e apenas para o canal de tensao

e com disparo por borda de subida.

3.10 PLACA DE CIRCUITO IMPRESSO

O desenvolvimento de hardware respectivo ao front-end do prototipo pro-

posto foi realizado utilizando o software Eagle®, integrando a instrumentacao das pon-

teiras de tensao e corrente descrito nas Figuras 23 e 27. A Figura 30 mostra a placa

de circuito impresso (PCI) na qual os elementos em vermelho situam-se na face supe-

Page 63: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

3.10 PLACA DE CIRCUITO IMPRESSO 61

rior da placa, enquanto os elementos na cor azul, estao na face inferior. As medidas

apresentadas na imagem correspondem a unidade de medida de milımetro (mm).

1

40

1

40

1

24

1

24

GND

Vo

5V

CH1

CH2

GND

IN

IN+

IN-

IN

OUT_MUX

COMUM

GND

ADC_CH1ADC_CH2

TRG_CH1

TRG_CH25V

3V

UTFPR

ACS712

MUX

114

66

Figura 30: Placa de circuito impresso.Fonte: Autoria propria.

Os conectores dispostos horizontalmente em dois dos extremos da PCI,

Figura 30, referem-se as conexoes com o kit de desenvolvimento, enquanto os conec-

tores dispostos na extremidade direita (disposicao vertical) representam as entradas

dos sinais provenientes das ponteiras de medicao.

Page 64: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

62

4 RESULTADOS

Neste Capıtulo sao apresentados os resultados praticos obtidos a partir das

implementacoes desenvolvidas e simulacoes descritas no Capıtulo 3. Inicialmente

serao apresentados os resultados pertinentes a confeccao do front-end e dos com-

ponentes empregados. Em seguida, e apresentada a resposta do circuito confecci-

onado, em condicoes analogas as empregadas nas simulacoes, com o objetivo de

comparacao. Por fim estao os resultados da implementacao da transformada rapida

de Fourier e dos calculos de valores instantaneos para variadas formas de onda.

4.1 FABRICACAO DO FRONT-END

De posse de uma placa de fibra de vidro de face dupla e de uma prototi-

padora com tecnologia CNC, realizou-se a confeccao da PCI apresentada na Figura

30. Obtendo a PCI com todas as perfuracoes necessarias realizadas, os compo-

nentes eletronicos puderam ser soldados. A Figura 31 ilustra o resultado obtido das

etapas citadas, em que as adaptacoes presentes, devem-se as melhorias realizadas

ao decorrer das montagens. Porem, estas mudancas foram consideradas nas secoes

anteriores deste trabalho.

Figura 31: Placa de circuito impresso prototipo.Fonte: Autoria propria.

Page 65: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.1 FABRICACAO DO FRONT-END 63

A Figura 32 mostra a PCI confeccionada acoplada ao kit de desenvolvi-

mento STM32F429I.

Figura 32: Placa de circuito impresso prototipo acoplada ao kit STM32F429I.Fonte: Autoria propria.

Para facilitar as conexoes das ponteiras de prova a PCI desenvolvida,

projetou-se uma case em software CAD. A Figura 33, apresenta o projeto finalizado

em uma impressora 3D.

Figura 33: Case confeccionado em impressora 3D.Fonte: Autoria propria.

Page 66: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.2 COMPONENTES ELETRONICOS UTILIZADOS 64

Acoplando o front-end confeccionado ao kit de desenvolvimento e conec-

tando as ponteiras de prova que serao utilizadas, obteve-se o prototipo conforme Fi-

gura 34.

Figura 34: Prototipo confeccionado com todos os elementos.Fonte: Autoria propria.

A ponteira de prova de tensao utilizada e a mesma utilizada em osci-

loscopios comerciais, apresentando opcao de atenuacao de 1x e 10x. Ainda, a pon-

teira de prova para o canal de corrente, faz uso de uma ponteira semelhante as utiliza-

das em geradores de funcao que suporta os valores limites de corrente do prototipo.

4.2 COMPONENTES ELETRONICOS UTILIZADOS

A Tabela 11 especifica os principais componentes eletronicos empregados

na confeccao do front-end completo apresentado na Figura 35.

Tabela 11: Principais componentes utilizados.

QUANTIDADE COMPONENTE

1 KIT STM32F429I

2 LM6134

1 MUX/DEMUX 74HC4052

2 CONECTORES BNCFonte: Autoria propria.

Page 67: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.2 COMPONENTES ELETRONICOS UTILIZADOS 65

+

-

Buffer

+

-

1n

+ -

2,5V

Comparador

3,3

Módulo decorrente

3,3

Div

iso

r re

sist

ivo 10k

15k

ADC

GPIO

GPIO

MUX

1

2

SW1

SW2

+

-

+

-

900k

50k

50k

101p

1,8n

1,8n

10k

10k

10k

300 300

3,9n

2n

+ -

10k

1,5VBanco AntenuadorSomador Não-Inversor

Buffer

Filtro Anti-Aliasing

Chave Mecanica^

+

-

1n

+ -1,6V

ADC+

-

3,3

3,3

Po

nte

ira

S0S1

Po

nte

ira

ACS712

PONTEIRA DE TENSÃO

PONTEIRA DE CORRENTE

Figura 35: Front-end completo.Fonte: Autoria propria.

O kit de desenvolvimento STM32F429I foi empregado neste trabalho em

consideracao aos fatores como capacidade de processamento, display grafico inte-

grado ao kit e elevadas taxas de amostragem dos conversores ADC.

Para que os sinais de maior frequencia nao apresentem distorcao, por in-

capacidade de reconstituicao dos amplificadores operacionais, optou-se pelo LM6134,

que dentre suas caracterısticas apresenta um slew-rate de 12V/µs, satisfazendo as ne-

cessidades do projeto, se comparado com o slew-rate mınimo calculado pela Equacao

18, que para sinais de ate 150kHz e amplitude maxima de pico de 3V corresponde a

3V/µs. Outra caracterıstica pertinente a escolha do LM6134 e o fato deste ser rail-

to-rail. Essa e uma caracterıstica importante em uma implementacao que se tenha

apenas disponıveis alimentacoes de 0V a 5V. Em relacao ao multiplexador 74HC4052,

ele foi empregado devido a possibilidade de operacao com sinais analogico entre os

terminais de entrada e saıda, enquanto a selecao de multiplexacao e controlada di-

Page 68: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.3 MEDICOES COM OSCILOSCOPIO 66

gitalmente. Ja os conectores BNC foram utilizados nas conexoes do front-end das

ponteiras de afericao.

4.3 MEDICOES COM OSCILOSCOPIO

Apos a arquitetura de hardware ser desenvolvida e simulada, foi possıvel

confeccionar a placa de circuito impresso apresentada na Figura 31. Todavia, con-

siderando que em situacoes reais, devido a presenca de fatores nao previstos em

simulacao, o comportamento do circuito confeccionado podera apresentar avarias em

relacao aos resultados simulados, um estagio de verificacao da implementacao real

devera ser constituıdo, cabendo ao projetista analisar se os resultados praticos obtidos

sao condizentes ou nao. Na sequencia deste trabalho serao apresentadas algumas

medicoes pertinentes ao circuito completo front-end para a ponteira de tensao eletrica

e para a corrente eletrica.

4.3.1 CANAL DE TENSAO

Com o objetivo de comparar os resultados das simulacoes apresentadas

no item 3.7.1.1, com o prototipo confeccionado foram realizadas algumas medicoes.

A Figura 36 apresenta o sinal inserido na entrada do banco atenuador, o qual, e o

mesmo sinal de entrada utilizado para a simulacao da Figura 24. Sinal senoidal, com

amplitude de 1V de pico e frequencia de 50kHz, somado a um sinal de 200mV de pico

e frequencia igual a 500kHz.

Figura 36: Sinal de tensao.Fonte: Autoria propria.

Page 69: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.3 MEDICOES COM OSCILOSCOPIO 67

Contudo, durante o desenvolvimento pratico do trabalho surgiram

complicacoes envolvendo as etapas de atenuacao do sinal com os circuitos a este

conectados. Como um dos objetivos do desenvolvimento deste trabalho e de desen-

volver um prototipo utilizando o mınimo de itens possıveis, optou-se por nao utilizar

alimentacao simetrica no projeto. Sendo assim, entre o circuito banco atenuador e o

circuito somador uma das opcoes corretas seria a insercao de um buffer de tensao,

evitando, assim, qualquer efeito de carga entre os circuitos. Porem, devido ao fato de

qualquer etapa anterior ao do estagio de off-set (somador) possuir tensoes negativas,

a insercao de amp-ops alimentados apenas com tensoes positivas faria com que as

componentes negativas do sinal de entrada fossem igualados a zero (alimentando o

amp-op de 0V a 5V). Contudo, optando pela nao utilizacao deste buffer de tensao,

conforme apresenta a Figura 35, problemas nas selecoes de atenuacao tornaram-se

evidentes e comecaram a se tornar um empecilho.

Com o intuito de obter resultados a conclusao deste trabalho, ainda sem a

utilizacao de alimentacao simetrica, inseriu-se um buffer de tensao entre as etapas

de atenuacao e de off-set, fazendo tal circuito torna-se um limitante para a medida

de sinal, possibilitando apenas sinais positivos de tensao. Assim, toda a sequencia

de resultados apresentados a partir da conclusao a seguir utilizara sinais de entrada

inteiramente positivos. As Figuras 37a e 37b apresentam o sinal de entrada atenuado

em 10x, enquanto as Figuras 38a e 38b apresentam os sinais atenuados em 20x. E

importante observar que, tanto para a atenuacao de 10x, quanto para a de 20x, os

resultados sao coerentes e semelhante aos sinais de simulacao da Figura 24.

(a) (b)

Figura 37: Sinal de entrada comparado a atenuacao de: (a) x10; (b) x10 (zoom).Fonte: Autoria propria.

Page 70: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.3 MEDICOES COM OSCILOSCOPIO 68

(a) (b)

Figura 38: Sinal de entrada comparado a atenuacao de: (a) x20; (b) x20 (zoom).Fonte: Autoria propria.

As Figuras 39 e 40 apresentam os sinais de entrada para as atenuacoes

de x1, x10 e x20, comparados aos respectivos sinais condicionados. As Figuras 39a

e 39b apresentam o sinal de entrada do front-end para uma atenuacao de x1, com-

parando este, ao sinal condicionado para a amostragem, comprovando o funciona-

mento do circuito. Ja a apresentacao das Figuras 40a, 40b, 40c e 40d, alem do intuito

de tambem comprovar o funcionamento do front-end para atenuacoes de x10 e x20,

possuem o ideal de ressaltar que, quanto maior for a atenuacao, no caso do sinal

manter-se o mesmo, a relacao sinal-ruıdo tende a ter um impacto significativo, con-

forme enfatizado na Figuras 40a e 40b, comparadas as Figuras 40c e 40d.

(a) (b)

Figura 39: Sinal de entrada do ADC (azul) em comparacao ao sinal de entrada (amarelo)para atenuacao de: (a) x1; (b) x1 (zoom).Fonte: Autoria propria.

Page 71: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.3 MEDICOES COM OSCILOSCOPIO 69

(a) (b)

(c) (d)

Figura 40: Sinal de entrada do ADC (azul) em comparacao ao sinal de entrada (amarelo)para atenuacoes de: (a) x10; (b) x10 (zoom); (c) x20; (d) x20 (zoom).Fonte: Autoria propria.

As Figuras 41a e 41b demonstram o comportamento da saıda do circuito

comparador (azul) em relacao a um sinal de tensao inserido na entrada.

(a) (b)

Figura 41: (a) Saıda do circuito comparador em relacao a entrada; (b) Atraso de fase docircuito comparador.Fonte: Autoria propria.

Page 72: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.3 MEDICOES COM OSCILOSCOPIO 70

Na Figura 41a e perceptıvel que o sinal quadrado da saıda do circuito de

comparacao possui a mesma frequencia do sinal de entrada. No entanto, fica clara a

existencia de um atraso de fase entre os sinais, e grande parcela deste atraso deve-

se ao amp-op utilizado, o qual, segundo Instruments (2014), apresenta uma atraso

de fase tıpico de 33 graus. Entao, de modo a comprovar a Figura 41b ilustra o slew-

rate intrınseco ao componente e o tempo de atraso entre a tensao de comparacao

de 1,6V e o inıcio da mudanca de estado do sinal de saıda do comparador que e

de aproximadamente 2µs. Sendo que o sinal de entrada possui uma frequencia de

50kHz, obtem-se o perıodo de tempo necessario para este sinal realizar um ciclo

completo (360 graus). Sendo assim, utilizando uma relacao linear, conclui-se que o

atraso de ≈ 2µs corresponde a ≈ 36 graus, valor coerente para uma analise pratica.

A Figura 42 mostra a saıda do circuito comparador para um sinal com

frequencia de 70kHz e 120kHz. Observa-se que com o aumento da frequencia, o sinal

de saıda e deformado, tornando-se um limitante para a medida de sinais de frequencia

superiores a 120kHz.

(a) (b)

Figura 42: Saıda do circuito comparador em: (a) 70kHz; (b) 120kHz.Fonte: Autoria propria.

4.3.2 CANAL DE CORRENTE

Referente o sinal de corrente, o prototipo desenvolvido sera capaz de re-

alizar medidas de corrente entre os limites de -2A a +2A. A Figura 43 apresenta a

medicao de um sinal de corrente de 60Hz e amplitude de 1A, obtido atraves chavea-

mento de uma tensao contınua em uma carga resistiva. Enquanto os grids verticais,

referentes a amplitude do sinal, para medicoes de corrente sao fixos em 1A por di-

visao, impossibilitando a selecao de amplitude para sinais de corrente.

Page 73: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.4 FILTRAGEM DIGITAL E DECIMACAO 71

Figura 43: Medicao de corrente com o prototipo.Fonte: Autoria propria.

Percebe-se que mesmo com um filtro passa-baixa agregado ao modulo de

corrente, ha o aparecimento de oscilacoes ruidosas em conjunto ao sinal. Levando em

consideracao que o sensor empregado, conforme disposicao da Tabela 6, nao apre-

senta uma resolucao satisfatoria para medicoes de sinais com pequenas amplitudes,

pode-se considerar o resultado obtido como nao ideal, porem satisfatorio. Pois como

o emprego da medicao nao se relacionara a medida de pequenos sinais, a relacao

sinal-ruıdo tera menor influencia ao sinal medido.

4.4 FILTRAGEM DIGITAL E DECIMACAO

Com o intuito de utilizar o menor numero de elementos possıveis no de-

senvolvimento do front-and analogico, a filtragem de dados necessaria, anterior a

etapa de decimacao, conforme disposicao da Figura 5b, devera ser digital e a topo-

logia empregada, em relacao ao filtro, devera ser estabelecida durante o desenvolvi-

mento pratico do trabalho, quando a topologia que apresentar melhor relacao eficacia-

processamento sera empregada. Porem, durante o desenvolvimento e testes praticos

do prototipo, tornou-se notorio a inviabilidade na implementacao digital dos filtros. Invi-

abilidade esta ocasionada, pelo grande numero de interrupcoes geradas pelo recurso

DMA que e utilizado para o armazenamento em memoria dos dados amostrados pelo

conversor ADC.

Page 74: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.5 SINAL AMOSTRADO E FFT 72

Mantendo a taxa de amostragem fixa em 1,5M amostras por segundo e im-

plementando um buffer circular com 1400 posicoes, o tempo necessario para amostrar

estes 1400 pontos, considerando amostragem simultanea de dois ADCs (CH1 e CH2),

e 1/1, 5M ·700, correspondendo a aproximadamente 467µs, tempo este inferior ao tempo

de processamento exigido por um filtro digital em conjunto a demais tarefas.

Uma maneira viavel a solucao deste problema seria a diminuicao da largura

de banda de frequencia na entrada do dispositivo, permitindo assim, uma reducao na

taxa de amostragem que, por consequencia, possibilitaria um tempo suficiente para a

execucao de todas as tarefas. No entanto, neste trabalho, optou-se por manter a taxa

de amostragem fixa e a realizacao de decimacao sem o auxılio de qualquer filtro digi-

tal, sugerindo possıveis estudos e melhorias acerca de tal problematica em trabalhos

futuros. Visto que esta escolha nao tera impacto sobre os resultados deste traba-

lho, pois em todos os testes que serao realizados sera feito uso de sinais conhecidos

e, deste modo, se podera garantir que os dados decimados estarao corretos. Con-

tudo, o problema se agravaria na medicao de qualquer sinal desconhecido, pois numa

situacao assim nao se podera garantir a veracidade das informacoes apresentadas.

4.5 SINAL AMOSTRADO E FFT

A Figura 44 apresenta um sinal de tensao com frequencia de 50kHz, amos-

trado com o kit de desenvolvimento a uma frequencia de amostragem de 1,5MHz.

0 10 20 30 40 50 60 70 80 90 1000

0.5

1

1.5

2

2.5

3

Amostras [N]

Am

plit

ude [V

]

Sinal Amostrado30 amostras

Figura 44: Sinal de 50kHz amostrado a uma taxa de 1,5M amostras por segundo.Fonte: Autoria propria.

Page 75: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.5 SINAL AMOSTRADO E FFT 73

E possıvel verificar que as amostras constituintes de um perıodo em tempo

discreto possuem relacao direta com o perıodo do sinal analogico amostrado. Na

Figura 44, a marcacao em linha vermelha pontilhada representa as amostras que for-

mam um perıodo discreto. Sabendo que a frequencia de amostragem e de 1,5MHz, o

perıodo de tempo, entre cada amostra (perıodo de amostragem), corresponde a 1/1, 5M.

Realizando o produto deste valor com o numero de amostras que correspondem um

perıodo discreto, que na ocasiao e igual a 30, obtem-se o perıodo do sinal discreto,

que e de 20µs, correspondendo, assim, ao sinal de entrada analogico de 50kHz.

As Figuras 45 e 46 apresentam o resultado da FFT calculada atraves da

biblioteca CMSIS, embarcada no kit de desenvolvimento, para sinais de entrada ar-

bitraria amostrada em uma frequencia de 1,5MHz.

Na Figura 45 sao apresentadas duas componentes predominantes: a com-

ponente respectiva a tensao CC de 500mV, intrınseca ao sinal, e a componente de

≈500mV, respectiva a amplitude de pico do sinal de 50kHz. Ja na Figura 46 tem-se,

tambem, um sinal senoidal com off-set de tensao igual a ≈500mV, porem, neste caso,

a frequencia do sinal alternado e de 110KHz, conforme apresentada claramente na

Figura 46. Contudo, e notorio que a segunda componente do espectro situada nos

110KHz apresenta uma atenuacao relevante relacionada com a ordem do filtro passa-

baixa utilizado, enfatizando uma real possibilidade de melhoria com o emprego de um

filtro de maior ordem.

0 1 2 3 4 5 6 7

x 105

0

0.1

0.2

0.3

0.4

0.5

0.6

Frequência [Hz]

Am

plitu

de N

orm

aliz

ada

[V]

FFT CMSIS

Figura 45: Resposta da FFT CMSIS implementada. Entrada: Senoidal, 1VPP ,50kHz e 500mV de off-set.Fonte: Autoria propria.

Page 76: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.5 SINAL AMOSTRADO E FFT 74

0 1 2 3 4 5 6 7

x 105

0

0.1

0.2

0.3

0.4

0.5

0.6

Frequência [Hz]

Am

plitu

de N

orm

aliz

ada

[V]

FFT CMSIS

Figura 46: Resposta da FFT CMSIS implementada. Entrada: Senoidal, 1VPP ,110kHz e 500mV de off-set.Fonte: Autoria propria.

A Figura 47 e o resultado da operacao de FFT para um sinal de tensao

quadrada. Observa-se que, devido a atenuacao do filtro anti-aliasing, as componentes

do espectro de frequencia, proximas de 100kHz comecam a ser atenuadas, de modo

que, diferente de simulacoes ideais, ha limitacoes ao numero de componentes obtidas.

0 1 2 3 4 5 6 7

x 105

0

0.1

0.2

0.3

0.4

0.5

0.6

Frequência [Hz]

Am

plitu

de N

orm

aliz

ada

[V]

FFT CMSIS

Figura 47: Resposta da FFT CMSIS implementada. Entrada: Quadrada, 1VPP ,30kHz, 50% de duty-cycle e 500mV de off-set.Fonte: Autoria propria.

A Figura 47 apresenta a componente CC do sinal em conjunto as demais

componentes que constituem o sinal. Ainda, a Figura 48 apresenta o espectro referido

a uma sinal de entrada triangular, deslocado em 500mV positivos. Os valores obtidos a

Page 77: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.5 SINAL AMOSTRADO E FFT 75

partir da implementacao realizada foram utilizadas no software Matlab® para obtencao

dos graficos apresentados.

0 1 2 3 4 5 6 7

x 105

0

0.1

0.2

0.3

0.4

0.5

0.6

Frequência [Hz]

Am

plitu

de N

orm

aliz

ada

[V]

FFT CMSIS

Figura 48: Resposta da FFT CMSIS implementada. Entrada: Triangular, 1VPP ,50kHz e 500mV de off-set.Fonte: Autoria propria.

A Figura 49a ilustra o resultado da FFT obtido pelo proprio kit de desenvol-

vimento para uma forma senoidal de tensao, amplitude de 1VPP , frequencia de 90kHz

e uma componente CC de 500mV.

(a) (b)

Figura 49: Resultado da FFT (a) Sinal senoidal; (b) Sinal quadrado.Fonte: Autoria propria.

E importante destacar que as componentes presentes ao espectro de

frequencia (Figura 49a) correspondem ao esperado. A primeira componente a es-

querda, na frequencia zero, representa a componente CC do sinal, enquanto a se-

Page 78: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.6 TRIGGER MANUAL 76

gunda componente representa a componente de 90kHz. Referente a disposicao das

informacoes da FFT, na lateral esquerda superior, estao presentes alguns dados. O

primeiro valor a esquerda representa a tensao de pico normalizada da componente de

maior amplitude. Ja o segundo valor e a frequencia equivalente a cada grid horizontal.

A Figura 49b apresenta a FFT para um sinal de tensao eletrica quadrada

de 1VPP , frequencia de 5kHz, duty-cycle de 50% e uma componente CC de 500mV.

Para tal, a FFT apresenta a componente CC e todas as demais componentes cons-

tituintes do sinal, conforme esperado. Conforme ja mencionado, devido a frequencia

de corte do filtro anti-aliasing utilizado, com o aumento de frequencia as componentes

sao atenuadas, conforme pode ser visto pela Figura 49b. Ainda, em ambos os tes-

tes realizados, Figura 49a e Figura 49b, pode-se notar que os valores normalizados

mostram-se corretos, correspondendo tanto em amplitude, quanto em frequencia. As-

sim, por mais que a resolucao da tela grafica seja pequena, os resultados obtidos sao

convincentes.

4.6 TRIGGER MANUAL

Atraves de testes com sinais de tensao periodicos no tempo foi possıvel

obter resultados satisfatorios em relacao a implementacao desenvolvida. A partir

do posicionamento manual da “linha de trigger ”, item IX da Figura 21, a forma de

onda aparenta ser estacionaria no tempo. Contudo, e possıvel perceber algumas

movimentacoes esporadicas do sinal.

4.7 VALORES INSTANTANEOS

Para apresentacao dos valores instantaneos, em um menu de opcoes o

usuario selecionara os valores pertinentes a serem apresentados, entre os quais

estao: VPP , IPP , VRMS, IRMS, frequencia do sinal amostrado, potencia aparente, de

pico e media. Os valores selecionados serao apresentados na parte inferior do dis-

play grafico, conforme disposicao apresentada na Figura 50.

Para um sinal de tensao senoidal, com frequencia de 1kHz, 1VPP e 500mV

de off-set, os valores instantaneos sao apresentados na Figura 50a. Tambem, em

relacao a Figura 50b, fez-se a medicao de um sinal no formato quadrado de 1APP e

frequencia de 60Hz. Nota-se que os valores instantaneos calculados possuem uma

margem de erro se comparado com valores teoricos, margem esta, devida ao ruıdo

restante no sinal amostrado e, ate mesmo, dos erros atribuıdos ao sinal no processo

Page 79: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.7 VALORES INSTANTANEOS 77

de amostragem pelo conversor ADC.

(a) (b)

Figura 50: Valores instantaneos: (a) Canal de tensao eletrica (CH1); (b) Canal de corrente eletrica(CH2).Fonte: Autoria propria.

Para a realizacao das operacoes matematicas dos valores instantaneos,

os dados utilizados devem ser necessariamente ciclos completos do sinal periodico

que se esteja amostrando. De forma discreta, os dados que representam os ciclos

completos de um sinal ja amostrado, estarao contidos entre a ocasiao de duas (ou

multiplas par) bordas de subida ou descida. Para entao selecionar os ciclos completos

discretos, sera percorrido o vetor de dados amostrados na busca de no mınimo duas

bordas, sejam de subida ou de descida. Detectada a ocorrencia de ambas as bordas,

os valores contidos entre as ocasioes serao os dados considerados nas operacoes

matematicas.

A Figura 51 apresenta os valores instantaneos relacionados a um sinal de

tensao quadrado de 1VPP e 60Hz medido atraves do canal de tensao e um sinal de

corrente, tambem quadrado, de ≈1APP e frequencia de 60Hz, amostrado pelo canal

de corrente em modo simultaneo.

Perceba que, do mesmo modo que os valores instantaneos apresentados

anteriormente, os instantaneos de potencia eletrica, tambem apresentam variacoes

em comparacao a valores obtidos a partir de calculos com os sinais de entrada.

Variacoes estas pertinentes a fatores como, por exemplo, o ruıdo presente no sinal

amostrado ou ate mesmo as oscilacoes presentes no proprio sinal de entrada, con-

forme ja mencionado.

Page 80: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.8 COMPORTAMENTO A DISTINTOS SINAIS DE TENSAO 78

Figura 51: Valores instantaneos de potencia (CH1 e CH2).Fonte: Autoria propria.

4.8 COMPORTAMENTO A DISTINTOS SINAIS DE TENSAO

Com o intuito de complementacao, esta secao apresenta o comportamento

do prototipo para dois sinais de tensao distintos, um triangular e outro quadrado.

4.8.1 SINAL TRIANGULAR DE TENSAO

A Figura 52 ilustra o resultado obtido durante a medicao de um sinal com

formato triangular de 1VPP , frequencia de 60Hz, duty cycle de 50% e um off-set de

tensao de 500mV.

Figura 52: Resposta a um sinal de tensao triangular.Fonte: Autoria propria.

Page 81: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

4.8 COMPORTAMENTO A DISTINTOS SINAIS DE TENSAO 79

Alem de nao apresentar deformidades perceptıveis nas extremidades do

sinal (Figura 52), os valores instantaneos podem ser considerados coerentes para

uma implementacao real, mesmo apresentando alguma discrepancia se comparado

ao valor absoluto de calculo.

4.8.2 SINAL QUADRADO DE TENSAO

A Figura 53 apresenta o resultado a uma onda de tensao com formato qua-

drado com as seguintes caracterısticas: 1VPP , 60Hz, duty cycle de 50% e um off-set

de tensao de 500mV.

Figura 53: Resposta a um sinal de tensao quadrado.Fonte: Autoria propria.

E como desejado, a forma de onda apresentada pelo dispositivo confec-

cionado nao apresentara nenhuma distorcao e, ainda, os valores instantaneos sao

condizentes com a forma de onda.

Page 82: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

80

5 CONCLUSAO E TRABALHOS FUTUROS

5.1 CONCLUSAO

O desenvolvimento de arquiteturas de hardware e software destinadas a

implementacao de DSO e um atrativo interessante a ampliacao dos conhecimentos

abordados em cursos de Engenharia Eletrica. Com os resultados obtidos nesse tra-

balho, pode-se concluir que em aplicacao que nao envolva expressiva exatidao nas

medicoes realizadas, implementacoes generalistas, similares ao escopo deste traba-

lho, atendem as necessidades basicas em medicoes de sinais convencionais.

Atraves do dispositivo front-end desenvolvido, pode-se obter uma resolucao

satisfatoria do sinal amostrado, levando em consideracao diferentes fatores de

atenuacao. Com o emprego dos metodos apresentados, tornou-se possıvel uma

alta impedancia de entrada para diferentes valores de atenuacao dos sinais adequa-

dos para amostragem, em relacao ao canal de tensao eletrica. Quanto ao canal de

corrente, devido a baixa resolucao do modulo empregado, em conjunto com a sim-

ples instrumentacao desenvolvida, os resultados obtidos tornaram-se satisfatorios em

medicoes de sinais com amplitudes que estabelecam uma relacao sinal-ruıdo superior

a tres vezes a amplitude do sinal original. Em termos gerais, os elementos empre-

gados a instrumentacao respectiva ao front-end de tensao eletrica permitiram obter

resultados coerentes em simulacoes e medicoes reais. Contudo, da maneira como

foi projetada a instrumentacao de tensao, percebeu-se a inviabilidade da utilizacao de

uma fonte de alimentacao simples, para alimentacao dos amplificadores operacionais,

utilizados nos estagios de desacoplamento de impedancia, com sinais de magnitude

negativa.

Quanto a implementacao digital de filtros decimadores, tornou-se evidente

a falta de processamento por parte da MCU empregada, inviabilizando qualquer fil-

tragem digital anterior ao estagio decimador. Problematica esta, acarretada pela alta

carga de processamento atribuıda ao processador, com enfase no processamento

de dados relacionados aos canais de dados a uma taxa de amostragem fixa e as

constantes atualizacoes do display grafico. Em relacao a especificacao de banda de

frequencia atribuıda ao prototipo, inicialmente, os circuitos desenvolvidos e compo-

Page 83: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

5.2 TRABALHOS FUTUROS 81

nentes empregados, baseavam-se num limite de frequencia de 150kHz. Contudo, por

meio dos resultados praticos foi possıvel notar que sinais proximos a 100kHz apresen-

taram atenuacoes devidas ao circuito anti-aliasing empregado ao front-end. Por meio

da utilizacao de sinais de tensao conhecidos, foi possıvel comprovar a veracidade das

informacoes apresentadas pela implementacao desenvolvida a cerca da FFT, com o

auxılio da biblioteca ARM® CMSIS.

O desenvolvimento da interface grafica em linguagem de programacao C,

em conjunto aos elementos graficos providos da biblioteca grafica emWin SEGGER®,

resultarao em uma interface com todos os requisitos necessarios e, ainda, permitindo

uma interface de facil manipulacao. Em relacao as operacoes matematicas dos va-

lores instantaneos implementados, os resultados obtidos com a utilizacao de sinais

de tensao conhecidos, puderam ser considerados adequados a uma implementacao

real. Em linhas gerais, as arquiteturas de hardware e software projetadas forneceram

resultados coerentes a um projeto simplista, que nao emprega recursos dedicados ao

processamento de sinais, como os encontrados em instrumentos comerciais.

5.2 TRABALHOS FUTUROS

Visando continuacao ao trabalho desenvolvido, inicialmente, sugere-se que

a instrumentacao front-end e o kit de desenvolvimento sejam alimentados por meio

de uma fonte de tensao simetrica auxiliar, viabilizando deste modo o desacoplamento

de impedancias ao emprego de buffers de tensao, em sinais com magnitude inferior

a zero. Optando por um alimentacao simetrica, o projetista tera mais opcoes de es-

colha em relacao aos componentes ativos empregados a instrumentacao, podendo

optar por elementos que atendam as especificacoes tecnicas de projeto a valores

monetarios reduzidos, equiparados a componentes de instrumentacao rail-to-rail sin-

gle supply. Sugestiona-se que, os amplificadores operacionais utilizados no front-end

dispostos anteriormente a conexao fısica com o microcontrolador, sejam alimentados

com uma tensao inferior aos limites das GPIOs ou conversores do microcontrolador,

dispensando assim, a utilizacao de diodos conforme apresentado neste trabalho.

Sugere-se que todas as selecoes de atenuacao sejam realizadas atraves

do MUX analogico-digital e para as entradas que possam comportar sinais com ampli-

tude superior a tensao de alimentacao do multiplexador, utilizar um diodo zener para

protecao do componente. A chave mecanica que ate entao empregada a funcionali-

dade de selecao da atenuacao de x1, podera ser utilizada para escolha de selecao de

Page 84: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

5.2 TRABALHOS FUTUROS 82

acoplamento, empregando ao prototipo opcoes para selecao de entrada CC ou CA,

com um simples capacitor em serie ao sinal de entrada para um acoplamento CA. Em

relacao a problematica presente na filtragem antecessora ao estagio de decimacao,

uma opcao possıvel, alem da ja descrita no desenvolvimento do trabalho, podera ser

a elaboracao de bancos com filtros passa-baixa analogicos, selecionaveis conforme a

necessidade, ou entao o emprego de um filtro passa-baixa analogico implementado

com resistores controlados digitalmente. Possibilitando, assim, variar a frequencia de

corte, conforme necessidade. Alem disso, sugere-se a utilizacao de componentes de

precisao, contribuindo para possıvel melhora dos resultados.

Page 85: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

83

REFERENCIAS

ALEXANDER, Charles K. Fundamentos de circuitos eletricos. [S.l.]: AMGH EditoraLtda., 2013. ISBN 978-85-8055-172-3.

ALLEGRO. Fully Integrated, Hall Effect-Based Linear Current Sensor, ACS712.2006. Disponıvel em: <https://www.sparkfun.com/datasheets/BreakoutBoards/0712-.pdf>.

ALVES, Ferreira; ELECTROT, Engenharia. Abc Do Osciloscopio. p. 59, 1998.

ARCHITECTURES, D S P. No Title. 2000.

ARM. Cortex-M4 Processor - ARM. 2016. Disponıvel em: <https://www.arm.com-/products/processors/cortex-m/cortex-m4-processor.php?tab=Specifications>.

ATMEL. AVR121: Enhancing ADC resolution by oversampling Microcontrollers. p. 1–48, 2005.

BHUNIA, Chandan; GIRI, Saikat; KAR, Samrat; HALDAR, Sudarshan; PURKAIT,Prithwiraj. A low-cost PC-based virtual oscilloscope. IEEE Transactions on Educa-tion, v. 47, n. 2, p. 295–299, 2004. ISSN 00189359.

BRTOS. Brazilian RTOS blog - O blog oficial do BRTOS. 2016. Disponıvel em:<https://brtosblog.wordpress.com/>.

CELMA, S.; CARLOSENA, A.; MARTINEZ, P.A. PC-Based Spectrum Analyzer. IEEETransactions on Education, v. 35, n. 3, p. 204–210, 1992.

COIDE, CooCox. CoIDE - Free IDE for ARM Cortex-M Design. 2017.

DAHER, Jorge Fernandez. Basic Instruments: Oscilloscopes. p. 175–201, 2015.

DIGIKEY. STM32F429I-DISCO STMicroelectronics — Development Boards, Kits,Programmers — DigiKey. 2017. Disponıvel em: <https://www.digikey.ca/product-detail/en/stmicroelectronics/STM32F429I-DISCO/497-13898-ND/4310131>.

DIGITAL, O F. The digital storage oscilloscope ( DSO ) as a cost- effective solution tomeasurements in digital circuits. v. 8, n. 8, p. 435–439, 1984.

FILIPEFLOP. Sensor de Corrente ACS712 -30A a +30A - FILIPEFLOP Componen-tes Eletronicos. 2017. Disponıvel em: <http://www.filipeflop.com/pd-304251-sensor-de-corrente-acs712-30a-a-30a.html>.

FREERTOS. Priority based preemptive RTOS scheduler. 2003. Disponıvel em:<http://www.freertos.org/implementation/a00005.html>.

Page 86: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

Referencias 84

HAREENDRAN, T.K. ACS712 Current Sensor Module Circuit for Microcontrol-lers. 2017. Disponıvel em: <http://www.electroschematics.com/11279/current-sensor-module-microcontrollers/>.

HAYES, Monson H. PROCESSAMENTO DIGITAL DE SINAIS. 3. ed. [S.l.]: Bookman,2006. ISBN 85-60031-06-5.

HAYKIN, Simon. Sinais e Sistemas. [S.l.]: Bookman., 2001.

INSTRUMENTS, National. Tecnologia de Tempo Real - National Instruments. 2017.

INSTRUMENTS, Texas. Analysis of the Sallen Key Architecture. 1999. Disponıvel em:<http://www.ti.com/lit/an/sloa024b/sloa024b.pdf>.

INSTRUMENTS, Texas. LM6132/LM6134 Dual and Quad Low Power 10 MHz Rail-to-Rail I/O Operational Amplifiers 1 Features 3 Description. 2014. Disponıvel em: <http:-//www.ti.com/lit/ds/symlink/lm6132.pdf>.

KARIM, Ishtiak Ahmed. A low cost portable oscilloscope based on Arduino and GLCD.2014 International Conference on Informatics, Electronics and Vision, ICIEV2014, p. 12–15, 2014.

MALVINO, Albert. Eletronica. 7. ed. [S.l.]: McGrawHill, 2007. ISBN 978-85-7726-023-2.

MARTIN, Trevor. Insider’s Guide STM32 To The STM32 ARM Based Microcontroller.2008.

MATZNER, Haim; LEVY, Shimshon. Basic RF Technic and Laboratory Manual - Attenu-ators. 2008. Disponıvel em: <http://www.hit.ac.il/.upload/engineering/Experiment\ 8\ -\ Atten>.

MEIER, Alexandra Von. ELECTRIC POWER SYSTEMS - A CONCEPTUAL INTRO-DUCTION - IEEE PRESS. [S.l.]: John Wiley and Sons, Inc., 2006. ISBN 0-471-17859-4.

ONMYPHD. Quantization Noise and Signal-Noise Ratio (SNR). 2016. Disponıvelem: <http://www.onmyphd.com/?p=quantization.noise.snr>.

ONTIME. Preemptive or Cooperative Multitasking. 2017. Disponıvel em: <http:-//www.on-time.com/rtos-32-docs/rtkernel-32/programming-manual/advanced-topics-/preemptive-or-cooperative-multitasking.htm>.

OPPENHEIM, Alan V. DISCRETE-TIME SIGNAL PROCESSING. 3. ed. [S.l.]: PEAR-SON, 2010. ISBN 0-13-198842-5.

PROAKIS, John G. DIGITAL SIGNAL PROCESSING. 4. ed. [S.l.]: PEARSON, 2007.ISBN 0-13-187374-1.

RENE ROBERT, Prof DR; ie ta -UFPR PROFDE, O; LEPIENSKI, CARLes M. MI-NISTERIO DA EDUCACAO. 1994. Disponıvel em: <http://acervodigital.ufpr.br/bits-tream/handle/1884/41495/D - JOSE ARINOS TEIXEIRA JUNIOR.pdf?sequence=2>.

Rohde&Schwarz. 2017. Disponıvel em: <https://www.rohde-schwarz.com/>.

Page 87: PROJETO DE UMA PLATAFORMA ABERTA PARA …repositorio.roca.utfpr.edu.br/jspui/bitstream/1/8515/1/... · 2018-04-12 · TERMO DE APROVAC¸AO˜ O Trabalho de Conclusao de Curso intitulado˜

Referencias 85

SCHNEIDER. Qualidade de energia Harmonicas. Schneider Electric, p. 19, 2013.Disponıvel em: <http://www.schneider-electric.com.br/documents/cadernos-tecnicos-/harmon.pdf>.

SEGGER. SEGGER - The Embedded Experts - RTOS and Middleware - emWin.2017.

SHARMA, Dharmendra Kumar; KISHORE, Kaushal; MALIK, Shahid; SINGHAL, Dhe-eraj K. for Measurement Applications. p. 0–4, 2015.

SLOMOVITZ, Daniel. Basic Instruments: Multimeters. n. Dmm, p. 149–173, 2015.

STMICROELECTRONICS. Reference Manual. System, n. October 2015, 2007.

STMICROELECTRONICS, a. STM32F429/439 - STMicroelectronics.2017. Disponıvel em: <http://www.st.com/content/st\ com/en/products/mi-crocontrollers/stm32-32-bit-arm-cortex-mcus/stm32f4-series/stm32f429-439-.html?querycriteria=productId=LN1>.

STMICROELECTRONICS, b. STM32F4DISCOVERY - Discovery kit - STMi-croelectronics. 2016. Disponıvel em: <http://www.st.com/en/evaluation-tools-/32f429idiscovery.html>.

SUNDSTROM, Timmy. Design of High-Speed Analog-to-Digital Converters using Low-Accuracy Components. 2011. ISSN 0345-7524. Disponıvel em: <http://liu.diva-portal-.org/smash/get/diva2:412005/FULLTEXT01.pdf>.

WAGH, Ameya; DAVE, Zalak; SINGH, Gaurav; DANGE, Varun; TAMBE, Abhay; GEN-GAJE, Sachin. A low cost portable oscilloscope for educational platforms using a pro-grammable system on chip. Proceedings - 2014 IEEE International Conference onAdvances in Communication and Computing Technologies, ICACACT 2014, p. 4–7, 2014.

WEISSTEIN, Eric W. Fast Fourier Transform. Wolfram Research, Inc., 2017. Disponıvelem: <http://mathworld.wolfram.com/FastFourierTransform.html>.

XU, Xiao. True RMS Voltage Calculation with C. 2012. Disponıvel em: <http://www-.egr.msu.edu/classes/ece480/capstone/fall12/group07/XuApplication.pdf>.

ZHANG, Larry. Silicon Process and Manufacturing Technology Evolution: An overviewof advancements in chip making. IEEE Consumer Electronics Magazine, v. 3, n. 3,p. 44–48, 2014. ISSN 2162-2248. Disponıvel em: <http://ieeexplore.ieee.org/lpdocs-/epic03/wrapper.htm?arnumber=6844939>.