File > New Project Wizardvhdl.com.br/quartus/projeto-simulacao-quartus2.pdf · 14 MUX 4:1 em...

Preview:

Citation preview

Victor Miranda FernandesUniversidade Federal Da Paraíbawww.vhdl.com.br

2

3

File > New Project Wizard

4

Next

5

Diretório: \vhdlNome: exemplo

6

Sim

7

Next

8

Finish

9

10

11

File > New

12

VHDL File

13

Escreva o códigoEm VHDL

14

MUX 4:1 em VHDLEntradas: i0, i1, i2 e i3Seleções: sel1 e sel2Saída: s0

É bom lembrar que onome da entidadetem que ser o mesmonome do projeto

15

Agora vamos simular!

16

Processing > Start Compilation

17

Sim

18

Salvar

19

Espere a compilação e OK

20

File > New

21

Vector Waveform File

22

2 clicks aquiPara abrir a pinagem

23

OK

24

Pins: All

25

26

27

28

CRLT + SHIFT + ESPAÇOPARA DIMINUIR O ZOOM

29

30

Selecione as entradasClick com direito > Grouping > Group

31

Group Name: entrada

32

Selecione o grupo entradaClick com direito > Value > Count Value

Para gerar um contador binário no grupo

33

OK

34

Veja a contagem!

35

Abra o grupo para ver em binário

36

Selecione as seleçõesClick com direito > Grouping > Group

37

Group Name: select

38

Selecione o grupo selectClick com direito > Value > Count Value

Para gerar um contador binário no grupo

39

OK

40

Agora vamos simular com as entradas e

Seleções que colocamos!

E ver a saída S0

41

Processing > Simulator Tool

42

Simulation mode: Functional

Depois click em:Generate Functional Simulation Netlist

43

Espere a simulação e OK

44

Agora, Start

45

OK e Open

46

Sim

47

Olhe a saída S0!E confira se é o esperado.

48

Fim!

Recommended