144
FACULDADE DE ENGENHARIA DA UNIVERSIDADE DO PORTO Departamento de Engenharia Electrotécnica e de Computadores Metodologias de Teste e Projecto para a Testabilidade de Circuitos de Radiofrequência António Gabriel de Matos e Pinho Licenciado em Engenharia Electrónica e Telecomunicações pela Universidade de Aveiro Dissertação submetida para a satisfação parcial dos requisitos para a obtenção do grau de mestre em Engenharia Electrotécnica e de Computadores (Área de especialização em Informática e Sistemas Digitais) Porto, Novembro de 2005

Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Embed Size (px)

Citation preview

Page 1: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

FACULDADE DE ENGENHARIA DA UNIVERSIDADE DO PORTO

Departamento de Engenharia Electrotécnica e de Computadores

Metodologias de Teste e Projecto para a Testabilidade de Circuitos de Radiofrequência

António Gabriel de Matos e Pinho

Licenciado em Engenharia Electrónica e Telecomunicações pela Universidade de Aveiro

Dissertação submetida para a satisfação parcial dos requisitos para a obtenção do grau de mestre em

Engenharia Electrotécnica e de Computadores (Área de especialização em Informática e Sistemas Digitais)

Porto, Novembro de 2005

Page 2: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

ii

Dissertação realizada sob a supervisão do

Prof. Dr. José Alberto Peixoto Machado da Silva

Professor Auxiliar do

Departamento de Engenharia Electrotécnica e de Computadores da

Faculdade de Engenharia da Universidade do Porto

O trabalho aqui apresentado foi apoiado pelo

Departamento de Engenharia Electrotécnica e de Computadores

no âmbito do projecto DEEC-ID/0303/2003

Page 3: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

iii

Resumo

Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído,

amplificador de potência.

Novas metodologias são necessárias para realizar o teste in-situ de circuitos de

radiofrequência embebidos. Nesta dissertação, são propostos métodos de teste alternativos

para calcular parâmetros típicos de caracterização de amplificadores RF.

Os três métodos de teste sugeridos permitem calcular o ganho, os pontos de

compressão do ganho de 1 dB e de intersecção de 3ª ordem, distorção harmónica, relação

sinal-ruído e factor de ruído do amplificador RF. O primeiro método é baseado no cálculo do

polinómio de 3ª ordem que melhor aproxima a função de transferência Vin vs Vout do

amplificador RF, obtida com o amplificador RF em modo de teste. O teste consiste na

aplicação de um estímulo com amplitude variável e na observação da saída para cada nível de

entrada. O segundo método, é baseado no cálculo de duas operações de auto-correlação entre

o sinal à saída do amplificador RF e os sinais de entrada de teste em fase e em quadratura. O

último método, é baseado no cálculo da auto-correlação de duas imagens do sinal de entrada

ou saída do amplificador RF, obtidas em dois instantes de tempo diferentes.

São apresentados resultados de simulação em MatLab para um amplificador de baixo-

ruído (LNA). Os resultados experimentais obtidos com um receptor RF superheterodino de

um kit de avaliação e com um circuito protótipo implementado com um LNA e um

amplificador logarítmico, confirmam experimentalmente a validade do primeiro método.

É ainda apresentado um estudo para avaliar a viabilidade da aplicação do método de

teste da aproximação polinomial na caracterização de amplificadores de potência RF em

classe A. Neste caso obteram-se resultados de simulação em ADS e resultados experimentais

para vários amplificadores de potência em classe A e distribuídos.

Finalmente, é proposta uma infra-estrutura para implementar em-circuito o método de

teste da aproximação polinomial. O esquema proposto inclui um oscilador de amplitude

variável que funciona como gerador de estímulos, um interruptor RF para efectuar a

controlabilidade e um detector de pico para medir a tensão à saída do LNA projectado na

tecnologia MOS 180 nm. Os resultados de simulação de um LNA para um sistema Bluetooth

a 2.4 GHz, obtidos em Cadence - SpectreRF, para o ganho, ponto de compressão do ganho de

1 dB e ponto de intersecção de 3ª ordem, mostram ser semelhantes aos resultados esperados.

Page 4: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

iv

Page 5: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

v

Abstract

Keywords: RF, testability and test techniques, low-noise amplifier, power amplifier.

In-circuit testing methodologies are required to tackle the evaluation of embedded

radiofrequency circuits. In this dissertation, alternative test methods are proposed to compute

typical RF amplifiers characterization parameters.

The three suggested methods allow calculating gain, 1 dB compression and third-order

intercept points, harmonic distortion, signal-to-noise ratio and noise figure of a RF amplifier.

The first method, is based on a polynomial approximation, i.e., the computation of the third-

order polynomial that best fits the transfer function Vin vs Vout, obtained from the RF amplifier

test operation mode. Thus, the test consists on applying a stimulus with varying amplitude and

observing the output for each input level. The second method, is based on the computation of

two cross-correlations between the RF amplifier output signal and the in-phase and quadrature

input test signals. The last one, relies on the calculation of the cross-correlation of two images

of the input or output signal of the RF amplifier, obtained at two different times.

Simulation results obtained in MatLab, for a low-noise amplifier (LNA), are presented

as a proof of concept. The experimental results obtained with a superheterodyne RF receiver

of an evaluation kit, and with a prototype circuit implemented with a LNA and a logarithmic

amplifier, illustrate the validity of the first method.

It is also presented a study to evaluate the viability of the application of the

polynomial approximation test method in the characterization of class A RF power amplifiers.

In this case, ADS simulation and experimental results were obtained with different class A

and distributed power amplifiers.

Finally, an infrastructure is proposed to implement the polynomial fitting method as a

built-in test method. The scheme being proposed includes an amplitude variable oscillator as

the stimulus generator, a RF switch for test controllability, and a peak detector to measure the

output voltage of the LNA, designed with a 180 nm MOS technology. Cadence-SpectreRF

simulation results obtained with a 2.4 GHz Bluetooth LNA, for gain, 1 dB compression and

third-order intercept points, show good agreement with the expected results.

Page 6: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

vi

Page 7: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

vii

Agradecimentos

Embora apenas um nome seja mencionado na capa desta dissertação, o trabalho a que se

refere não teria sido possível sem o contributo e o apoio de muitas outras pessoas. Não posso

por isso deixar de exprimir aqui a minha profunda gratidão a todos quantos, de diferentes

formas, me ajudaram a levar a bom termo o trabalho aqui apresentado.

Gostaria de começar por agradecer ao meu orientador, o Professor José Machado da

Silva, pela forma como assegurou a satisfação de todas as condições necessárias à conclusão

bem sucedida deste trabalho. Agradeço também a forma como me guiou no decorrer do

mesmo, os conhecimentos que me transmitiu, e todas as sugestões, opiniões e respostas que

sempre se disponibilizou a apresentar e que no seu conjunto representaram um contributo

decisivo para o resultado deste trabalho. Por fim, manifesto o meu apreço pela paciência

demonstrada ao longo das inúmeras revisões deste manuscrito.

Agradeço também aos meus colegas de trabalho do Grupo de CAD e

Microelectrónica, pelo apoio e interesse manifestados durante a realização deste trabalho.

Agradeço aos meus pais e namorada o interesse com que acompanharam a evolução

deste trabalho e o apoio que sempre se disponibilizaram a prestar e que de diversas formas o

fizeram, e a paciência que mostraram ter para comigo nas ocasiões mais difíceis.

Por fim, gostaria de expressar o meu agradecimento às duas instituições que no seu

conjunto tornaram o trabalho aqui descrito possível. Ao Departamento de Engenharia

Electrotécnica e de Computadores da Faculdade de Engenharia da Universidade do Porto, que

generosamente me acolheu durante a sua duração e assegurou os meios financeiros

necessários à sua execução e ao TARGET (Top Amplifier Research Groups in a European

Team) Exchange Program, que financiou um estágio de um mês na Universidade de Ulm na

Alemanha (Department of Electron Devices and Circuits). A este propósito, queria agradecer

a forma como fui recebido pelo professor Herman Schumacher e a ajuda incansável prestada,

principalmente a nível laboratorial, pelos professores Christoph Schick e M. Häfele.

Page 8: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

viii

Page 9: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

ix

Nota ao leitor

Ao longo da escrita desta dissertação procurou-se recorrer o mais possível ao uso de termos

portugueses. No entanto, em muitos casos esta opção acarreta o risco de no processo de

tradução se perder a identificação imediata do conceito que se pretende transmitir. A fim de

minimizar este risco e aumentar a clareza da exposição é em muitos casos usado o termo em

língua inglesa, em itálico, optando-se pelo sacrifício da tradução em favor da clareza da

apresentação.

Por outro lado, em muitos dos gráficos apresentados ao longo desta dissertação

verifica-se que as legendas de ambos os eixos se encontra em inglês ou em português onde é

omitida a acentuação. No primeiro caso, isso deveu-se ao facto desses gráficos terem sido

obtidos para a realização do relatório final do estágio realizado na Universidade de Ulm na

Alemanha. No segundo caso, esses gráficos foram obtidos utilizando aplicações (MatLab e

Cadence SpectreRF) que não permitem a inclusão de acentuação no texto. Devido a estes

factos pedimos as nossas desculpas ao leitor. No entanto pensamos que o conteúdo e a

legibilidade desses gráficos não é significativamente afectada.

Page 10: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

x

Page 11: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Índice

1 INTRODUÇÃO ..............................................................................................................1

1.1 Teste e projecto para a testabilidade de circuitos e sistemas de radiofrequência ............................ 1

1.2 Arquitecturas de sistemas de radiofrequência .................................................................................... 2

1.3 Métodos genéricos de teste em-circuito de circuitos e sistemas de radiofrequência ........................ 3

1.4 Contribuição apresentada nesta dissertação....................................................................................... 4

2 ANÁLISES DE LINEARIDADE EM AMPLIFICADORES RF....................................................7

2.1 Intermodulação e distorção harmónica ............................................................................................... 7

2.2 Ponto de compressão do ganho de 1 dB............................................................................................. 11

2.3 Ponto de intersecção de 3ª ordem....................................................................................................... 12

2.4 Gama dinâmica.................................................................................................................................... 15

2.5 Ruído..................................................................................................................................................... 17

2.6 Conclusão ............................................................................................................................................. 18

3 METODOLOGIAS DE TESTE APLICADAS À CARACTERIZAÇÃO DE AMPLIFICADORES RF...19

3.1 Descrição das novas metodologias de teste ........................................................................................ 19 3.1.1 Método da aproximação polinomial .............................................................................................. 19 3.1.2 Método da correlação cruzada....................................................................................................... 21 3.1.3 Método da auto-correlação ............................................................................................................ 23

3.2 Resultados de simulação em MatLab ................................................................................................. 24 3.2.1 Método da aproximação polinomial .............................................................................................. 24 3.2.2 Método da correlação cruzada....................................................................................................... 29 3.2.3 Método da auto-correlação ............................................................................................................ 34

3.3 Conclusão ............................................................................................................................................. 35

4 RESULTADOS EXPERIMENTAIS ...................................................................................37

4.1 Resultados obtidos com o Kit CC1010 da Chipcon........................................................................... 37

4.2 Resultados obtidos com o protótipo de teste ..................................................................................... 41

Page 12: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Índice xii

4.3 Conclusão..............................................................................................................................................45

5 APLICAÇÃO DO MÉTODO DE TESTE DA APROXIMAÇÃO POLINOMIAL AO TESTE DE AMPLIFICADORES DE POTÊNCIA RF.............................................................................. 47

5.1 Amplificadores de potência RF...........................................................................................................48 5.1.1 Rendimento....................................................................................................................................48 5.1.2 Classes de amplificação .................................................................................................................49

5.2 Resultados de simulação em ADS.......................................................................................................53 5.2.1 Amplificador de potência em classe A...........................................................................................53 5.2.2 Amplificador de potência em tecnologia SiGe ..............................................................................56 5.2.3 Amplificador de potência distribuído em tecnologia GaAs ...........................................................59

5.3 Resultados experimentais....................................................................................................................63 5.3.1 Amplificador de potência comercial ..............................................................................................63 5.3.2 Amplificador de potência distribuído em tecnologia GaAs ...........................................................68

5.4 Conclusão..............................................................................................................................................78

6 IMPLEMENTAÇÃO EM-CIRCUITO DAS NOVAS METODOLOGIAS DE TESTE ....................... 79

6.1 Infra-estruturas de teste dedicadas à implementação em-circuito das metodologias de teste ......80

6.2 Implementação em-circuito do método de teste da aproximação polinomial.................................82 6.2.1 Projecto do LNA............................................................................................................................82

6.2.1.1 Topologia de fonte comum com degeneração indutiva ............................................................83 6.2.1.2 Projecto de um LNA para Bluetooth.........................................................................................86

6.2.2 Projecto do oscilador RF com amplitude variável .........................................................................91 6.2.2.1 Circuitos osciladores.................................................................................................................91 6.2.2.2 Projecto do oscilador Colpitts com amplitude variável.............................................................95

6.2.3 Projecto do interruptor RF .............................................................................................................98 6.2.3.1 Estado da arte no projecto de interruptores RF.........................................................................98 6.2.3.2 Implementação do interruptor RF...........................................................................................102

6.2.4 Implementação do detector de pico .............................................................................................105 6.2.5 Caracterização do LNA pelo método de teste da aproximação polinomial .................................108

6.3 Conclusão............................................................................................................................................111

7 CONCLUSÃO .......................................................................................................... 113

7.1 Contribuição do trabalho apresentado ............................................................................................113

7.2 Perspectivas de trabalho futuro........................................................................................................115

REFERÊNCIAS ........................................................................................................... 117

ANEXO A - ROTINAS MATLAB DE SIMULAÇÃO ........................................................... 121

Page 13: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de Figuras

Figura 1: Diagrama de blocos de um emissor/receptor RF.......................................................................................2

Figura 2: Representação gráfica do ponto de compressão do ganho de 1 dB.........................................................12

Figura 3: Representação gráfica do ponto de intersecção de 3ª ordem...................................................................13

Figura 4: Representação gráfica da gama dinâmica................................................................................................16

Figura 5: Representação gráfica da relação sinal-ruído na saída de um amplificador RF......................................17

Figura 6: Aplicação do método da correlação cruzada ao teste do LNA................................................................22

Figura 7: Obtenção de duas imagens do sinal em diferentes instantes de tempo, para o cálculo da SNR por correlação..................................................................................................................................................23

Figura 8: Função de transferência Vin vs Vout do LNA e respectiva função de transferência obtida com o polinómio de 3ª ordem..............................................................................................................................25

Figura 9: Representação gráfica de P1dB e IP3 do LNA........................................................................................26

Figura 10: Gráfico do ganho do LNA em função da potência de entrada...............................................................26

Figura 11: Gráfico de H2 e H3 em função da tensão de entrada do LNA................................................................27

Figura 12: Erro introduzido no cálculo de H2, considerando sinais de correlação quadrados................................31

Figura 13: Erro introduzido no cálculo de H3, considerando sinais de correlação quadrados................................31

Figura 14: Erro introduzido no cálculo de H12, considerando sinais de correlação quadrados...............................32

Figura 15: Erro introduzido no cálculo de H2, considerando erros no desfasamento de 90º entre 1 e 10 graus.....33

Figura 16: Erro da SNR (diferença entre os valores do método proposto e os valores da equação teórica)...........34

Figura 17: Fotografia do Kit CC1010 da Chipcon..................................................................................................38

Figura 18: Diagrama de blocos do receptor RF superheterodino do Kit CC1010 da Chipcon...............................38

Figura 19: Características de transferência do LNA...............................................................................................40

Figura 20: Ganho em função da potência de entrada (LNA, misturador, medidor de tensão RSSI e ADC)..........41

Figura 21: Diagrama de blocos do protótipo de teste..............................................................................................41

Figura 22: Fotografias das placas de circuito impresso fabricadas.........................................................................42

Figura 23: Função de transferência do amplificador logarítmico...........................................................................43

Figura 24: Função de transferência do LNA obtida experimentalmente................................................................44

Figura 25: Gráfico do ganho em função da potência de entrada do LNA...............................................................45

Figura 26: Formas de onda das classes de amplificação AB, B e C.......................................................................50

Figura 27: Circuito do amplificador de potência RF...............................................................................................50

Figura 28: Amplificador de potência em classe D..................................................................................................52

Figura 29: Características de transferência do PA em classe A, obtidas em ADS..................................................53

Figura 30: Função de transferência do PA em classe A genérico, obtida em ADS................................................54

Figura 31: Representação gráfica dos harmónicos do PA em classe A em função da potência de entrada............55

Page 14: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de figuras xiv

Figura 32: Gráfico do ganho em função da potência de entrada do PA em classe A.............................................55

Figura 33: Características de transferência do PA em tecnologia SiGe..................................................................56

Figura 34: Função de transferência do PA em tecnologia SiGe, obtida em ADS...................................................57

Figura 35: Gráfico dos harmónicos do PA em tecnologia SiGe, em função da potência de entrada......................58

Figura 36: Gráfico do ganho em função da potência de entrada do PA em tecnologia SiGe.................................58

Figura 37: Topologia do PA distribuído em tecnologia GaAs (DC a 20 GHz) [19]...............................................59

Figura 38: Características de transferência do PA distribuído em tecnologia GaAs (20 GHz), obtida em ADS...60

Figura 39: Função de transferência do PA distribuído em tecnologia GaAs (20 GHz), obtida em ADS...............60

Figura 40: Ganho em função da potência de entrada do PA distribuído em tecnologia GaAs (20 GHz)...............61

Figura 41: Gráfico dos harmónicos do PA distribuído em tecnologia GaAs, em função da potência de entrada..61

Figura 42: Gráfico de P1dB em função da frequência, do PA em tecnologia GaAs..............................................62

Figura 43: Gráfico de IP3 em função da frequência, do PA em tecnologia GaAs.................................................63

Figura 44: Amplificador de potência da Mini-Cicuits ZHL-42W [20]....................................................................64

Figura 45: Montagem experimental utilizada para obter o valor de P1dB do PA ZHL-42W.................................64

Figura 46: Representação gráfica de P1dB do PA ZHL-42W.................................................................................65

Figura 47: Função de transferência do PA ZHL-42W (1 GHz), obtida experimentalmente...................................66

Figura 48: Ganho em função da potência de entrada do PA ZHL-42W (1 GHz)....................................................67

Figura 49: Gráfico dos harmónicos do PA ZHL-42W em função da potência de entrada......................................67

Figura 50: Fotografia do PA distribuído em tecnologia GaAs [19]........................................................................68

Figura 51: Montagem experimental para obter o valor de P1dB do PA distribuído em tecnologia GaAs.............69

Figura 52: Fotografia da montagem laboratorial utilizada para testar o PA distribuído em tecnologia GaAs.......69

Figura 53: Fotografias do equipamento laboratorial utilizado................................................................................70

Figura 54: Fotografia do "bias tee" (20 KHz a 45 GHz)........................................................................................70

Figura 55: Perdas de inserção do "bias tee" em função da frequência....................................................................71

Figura 56: Montagem experimental para obter o valor de IP3 do PA distribuído em tecnologia GaAs................72

Figura 57: Fotografia do combinador de sinal RF (6 a 26.5 GHz).........................................................................73

Figura 58: Função de transferência do PA distribuído em tecnologia GaAs , obtida experimentalmente.............74

Figura 59: Ganho em função da potência de entrada do PA distribuído em tecnologia GaAs para 20 GHz..........75

Figura 60: Harmónicos do PA distribuído em tecnologia GaAs, em função da potência de entrada (5 GHz).......76

Figura 61: Gráfico dos valores experimentais de P1dB em função da frequência, do PA em tecnologia GaAs....77

Figura 62: Gráfico dos valores experimentais de IP3 em função da frequência, do PA em tecnologia GaAs.......77

Figura 63: Infra-estrutura de teste para a implementação do método da aproximação polinomial........................80

Figura 64: Infra-estrutura de teste para a implementação do método da correlação cruzada.................................81

Figura 65: Infra-estrutura de teste para a implementação do método da auto-correlação......................................82

Figura 66: Topologia de fonte comum com degeneração indutiva.........................................................................84

Figura 67: LNA para sistema de recepção Bluetooth..............................................................................................86

Figura 68: Parâmetros S do LNA para Bluetooth (2.4 GHz), obtidos por simulação em Cadence-SpectreRF.....88

Figura 69: Partes real e imaginária da impedância de entrada do LNA (simulação em Cadence-SpectreRF).......89

Page 15: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de figuras xv

Figura 70: Partes real e imaginária da impedância de saída do LNA (simulação em Cadence-SpectreRF)..........89

Figura 71: Factor de ruído do LNA (simulação em Cadence-SpectreRF)..............................................................89

Figura 72: Ponto de compressão do ganho de 1 dB do LNA (simulação em Cadence-SpectreRF).......................90

Figura 73: Ponto de intersecção de 3ª ordem do LNA (simulação em Cadence-SpectreRF).................................90

Figura 74: Topologias de osciladores RF................................................................................................................92

Figura 75: Topologias de osciladores LC................................................................................................................94

Figura 76: Modelo simplificado do oscilador Colpitts............................................................................................95

Figura 77: Esquemático do oscilador Colpitts com amplitude variável..................................................................96

Figura 78: Amplitude mínima e máxima do sinal à saída do oscilador RF (2.4 GHz)...........................................97

Figura 79: Interruptor de transmissão/recepção......................................................................................................98

Figura 80: Interruptor ressonante LC......................................................................................................................99

Figura 81: Interruptor com transístor simples.......................................................................................................100

Figura 82: Interruptor transmission gate...............................................................................................................101

Figura 83: Interruptor enhanced transmission gate..............................................................................................102

Figura 84: Esquema do interruptor RF..................................................................................................................103

Figura 85: Amplitude mínima e máxima do sinal à saída do interruptor RF (entrada do LNA)..........................105

Figura 86: Esquema do detector de pico...............................................................................................................106

Figura 87: Amplitude mínima à entrada do detector de pico e respectivo valor DC na saída..............................107

Figura 88: Amplitude máxima à entrada do detector de pico e respectivo valor DC na saída.............................107

Figura 89: Valores da tensão DC na saída do detector de pico em função da tensão sinusoidal de entrada........107

Figura 90: Função de transferência do LNA , obtida por simulação em Cadence-SpectreRF.............................109

Figura 91: Ganho em função da potência de entrada do LNA para sistema Bluetooth (2.4 GHz).......................110

Page 16: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de figuras xvi

Page 17: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de Tabelas

Tabela 1: Resposta de 1ª ordem ou linear.................................................................................................................8

Tabela 2: Resposta não-linear de 2ª ordem...............................................................................................................9

Tabela 3: Resposta não-linear de 3ª ordem.............................................................................................................10

Tabela 4: Valores teóricos e de simulação em MatLab para P1dB e IP3 do LNA.................................................25

Tabela 5: Valores da razão entre os harmónicos de 2ª e 3ª ordem e a fundamental...............................................27

Tabela 6: Valores médios do erro e do desvio padrão (pontos aleatórios da função de transferência do LNA)....28

Tabela 7: Valores médios do erro e do desvio padrão (erro nas medidas do sinal de entrada/saída do LNA).......29

Tabela 8: Relação entre a componente fundamental e a componente de intermodulação de 3ª ordem..................30

Tabela 9: Valores experimentais da potência de entrada/saída do receptor RF......................................................39

Tabela 10: Resultados experimentais para P1dB e IP3 do receptor RF..................................................................39

Tabela 11: Valores experimentais da tensão de saída do amplificador logarítmico, para diferentes níveis da

potência de entrada do LNA...................................................................................................................43

Tabela 12: Valores de P1dB e IP3 do LNA, obtidos experimentalmente...............................................................44

Tabela 13: Comparação entre as várias classes de amplificadores de potência......................................................52

Tabela 14: Valores de P1dB e IP3 do PA em classe A genérico, obtidos em ADS...............................................54

Tabela 15: Valores de P1dB e IP3 do PA em tecnologia SiGe, obtidos em ADS..................................................57

Tabela 16: Valores dos harmónicos H2 e H3 do PA ZHL-42W, obtidos experimentalmente..................................65

Tabela 17: Valores de P1dB e IP3 do PA ZHL-42W..............................................................................................66

Tabela 18: Valores da atenuação em função da frequência, referente ao teste de 1-ton.........................................71

Tabela 19: Valores experimentais de P1dBin e P1dBout em função da frequência, do PA (GaAs)........................71

Tabela 20: Valores experimentais de H2 e H3 do PA (GaAs), para uma frequência de 5 GHz...............................72

Tabela 21: Valores da atenuação em função da frequência, referente ao teste de 2-tons.......................................73

Tabela 22: Valores experimentais de IP3in e IP3out em função da frequência, do PA (GaAs)...............................74

Tabela 23: Valores de P1dB e IP3 do PA (GaAs), em função da frequência.........................................................74

Tabela 24: Especificações para o projecto do LNA para sistemas Bluetooth.........................................................86

Tabela 25: Resumo das características gerais de desempenho do LNA.................................................................88

Tabela 26: Valores da amplitude à saída do oscilador para diferentes estados ON/OFF dos transístores..............97

Tabela 27: Amplitude à saída do interruptor, para diferentes estados ON/OFF dos transístores do oscilador.....105

Tabela 28: Valores da tensão DC na saída do detector de pico para diferentes valores da tensão de entrada......106

Tabela 29: Valores da tensão DC na saída do detector de pico em função da tensão de entrada do LNA...........109

Tabela 30: Valores de P1dB e IP3 do LNA para sistema Bluetooth, obtidos em Cadence-SpectreRF................110

Page 18: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de tabelas xviii

Page 19: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de acrónimos

ADC Analogue-to-digital Converter ADS Advanced Design System AM Amplitude Modulation CMOS Complementary Metal-Oxide Semiconductor dBc dB to Carrier dBm dB to Milliwatt DC Direct Current FFT Fast Fourier Transform IF Intermediate Frequency IMD Intermodulation Distortion LDR Linear Dynamic Range LNA Low-noise Amplifier LO Local Oscillator NF Noise Figure NMOS Negative Metal-Oxide Semiconductor OFDM Orthogonal Frequency Division Multiplexing PA Power Amplifier PAE Power Added Efficiency PM Phase Modulation PMOS Positive Metal-Oxide Semiconductor RF Radiofrequency RSSI Received Signal Strength Indicator SAWR Surface Acoustic Wave Resonator SFDR Spurious-free dynamic range SNR Signal-to-noise Ratio SoC System-on-a-chip UMS United Monolithic Semiconductors

Page 20: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Lista de acrónimos xx

Page 21: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 1

Introdução

1.1 Teste e projecto para a testabilidade de circuitos e

sistemas de radiofrequência

O contínuo desenvolvimento das tecnologias de microelectrónica permite a integração no

mesmo substracto de silício de circuitos digitais, analógicos e de radiofrequência (RF). Estes

microsistemas (systems-on-a-chip – SoC) podem encontrar-se em diversas aplicações, sendo a

das comunicações móveis actualmente uma das mais mediáticas.

O teste destes microsistemas integrados constitui actualmente uma dificuldade para os

fabricantes, devido aos elevados níveis de integração e à diversidade de circuitos a testar. A

relevância que a área do teste de circuitos e sistemas RF vem alcançando, levou recentemente

à criação do Technical Activity Committee on RF Testing do IEEE Teste Technology

Technical Council [1].

Os custos do teste continuam a crescer e constituem uma percentagem significativa

dos custos totais de produção destes dispositivos. Tipicamente as percentagens do custo do

teste em relação aos custos totais rondam os 5% para circuitos lógicos, 10% para os circuitos

mistos (analógicos e digitais) e podem ultrapassar os 50% para produtos com circuitos RF

embebidos [2].

Para além das dificuldades de acesso e da complexidade dos circuitos a testar, os

fabricantes debatem-se com problemas relacionados com o elevado custo dos testadores e o

tempo necessário para realizar todas as operações de teste [3]. Por outro lado, o baixo tempo

Page 22: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Introdução

2

de colocação de novos produtos no mercado e os elevados requisitos de qualidade dos

produtos, são também razões que contribuem para os elevados custos do teste.

Para ultrapassar estas dificuldades é necessário o desenvolvimento de metodologias de

projecto para a testabilidade e de métodos que permitam um teste mais rápido e económico

destes circuitos, particularmente nas etapas de produção onde não se pretende um teste tão

exaustivo quanto o realizado nas fases de desenvolvimento do produto.

1.2 Arquitecturas de sistemas de radiofrequência

Na figura 1 está representado um diagrama de blocos de um emissor/receptor RF típico.

LO

LNA Desmod.Misturador

ModuladorMisturador

T/R

PA

LO

ADC

ADC

DAC

DAC

DSP

AmpIF

Figura 1: Diagrama de blocos de um emissor/receptor RF.

A função básica do emissor RF é modular a informação de banda base numa portadora

de frequência elevada, que será posteriormente radiada por uma antena emissora. Na figura 1,

o sinal em banda base é utilizado para modular um sinal sinusoidal de frequência intermédia,

sinal IF. Podem ser usados vários métodos de modulação analógica ou digital através da

variação da amplitude, fase ou frequência do sinal sinusoidal, a que se dá o nome de

portadora. A gama de frequências do sinal IF é normalmente da ordem dos 10 a 100 MHz. O

sinal IF é posteriormente deslocado para uma frequência superior, chamada de frequência RF,

através da utilização de um misturador. O misturador produz as frequências soma ou

diferença das frequências do sinal IF e do sinal do oscilador local (LO). Em seguida faz-se

passar o sinal RF por um amplificador de potência (PA), de forma a aumentar a potência do

sinal a ser transmitido.

Page 23: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Introdução

3

A função do receptor RF é recuperar os dados transmitidos, essencialmente través da

realização inversa das funções de cada um dos componentes do emissor RF apresentado

anteriormente. A função do amplificador de baixo-ruído (LNA) é amplificar o sinal recebido,

que normalmente é de amplitude muito baixa, e ao mesmo tempo minimizar a potência de

ruído adicionada nesta operação de amplificação. Devido a este facto, trata-se de um bloco

extremamente importante nos sistemas de recepção RF. Seguidamente utiliza-se um

misturador para converter o sinal RF num sinal de frequência mais baixa, designado mais uma

vez de sinal IF. Utilizando uma frequência do oscilador local próxima da frequência do sinal

RF, conseguem-se frequências diferença na saída do misturador menores do que 100 MHz.

Um amplificador de frequência intermédia de alto ganho providencia o aumento do nível de

potência do sinal IF, de forma a que a informação de banda base possa ser recuperada mais

facilmente. Ao processo de recuperação da informação em banda base dá-se o nome de

desmodulação.

Nas arquitecturas mais recentes as operações de modulação e de desmodulação

tendem a ser realizadas no domínio digital, através da utilização de circuitos dedicados ao

processamento digital de sinal (DSP).

1.3 Métodos genéricos de teste em-circuito de circuitos e

sistemas de radiofrequência

Não existem actualmente metodologias normalizadas estabelecidas de projecto para a

testabilidade (incluindo o auto-teste) de circuitos RF [2].

Uma pesquisa acerca de algumas propostas e estratégias de desenvolvimento que têm

vindo a ser seguidas nesta área, aponta-nos dois caminhos distintos que podem ser tomados

em consideração.

O primeiro consiste na introdução de um conjunto de recursos dedicados ao teste,

entre cada um dos blocos constituintes do conjunto emissor/receptor RF. O segundo tem por

base a criação de um caminho de realimentação (loop-back), através da ligação da saída do

amplificador de potência do emissor RF à entrada do LNA do receptor RF.

No primeiro caso, esta técnica permite realizar o diagnóstico individual a cada bloco,

permitindo assim diagnosticar possíveis defeitos em cada bloco, uma vez que os sinais de

Page 24: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Introdução

4

teste são propagados ao longo da cadeia de teste sem serem afectados pelos outros blocos

presentes na cadeia de emissão/recepção. No entanto, pode ser necessário incluir vários

recursos de teste adicionais, o que pode provocar a inviabilidade deste tipo de técnica de teste.

Como exemplo desta estratégia de teste, pode-se indicar a matriz de interruptores apresentada

em [4], que permite a definição de diferentes configurações no circuito, possibilitando assim o

teste em separado dos diferentes blocos do sistema.

No segundo caso, os recursos adicionais a serem introduzidos para a realização do

teste são minimizados, mas no entanto não é possível identificar qual dos blocos na cadeia

apresenta defeitos. Para além disso, algumas arquitecturas e alguns efeitos secundários, como

por exemplo o aumento da potência consumida, podem inviabilizar a utilização destas

técnicas de loop-back [5].

O objectivo da segunda estratégia é não interferir com as zonas de sinal de frequência

elevada. Em [6, 7] é calculada a função de transferência do andar RF, depois de se obter e

analisar na interface de banda base do receptor um conjunto de assinaturas temporais e

espectrais. Em [6] é utilizado um estímulo composto por uma sequência optimizada de níveis

de tensão, enquanto que em [7] utiliza-se um estimulo OFDM (Orthogonal Frequency

Division Multiplexing) composto por diferentes frequências. Em [8] considera-se a inclusão

de multiplexers no nó de interface com a antena, permitindo dessa forma a injecção directa do

estímulo de teste na entrada do receptor para a realização do teste deste bloco separadamente,

ou para a realização do teste ao conjunto emissor/receptor utilizando uma configuração do

tipo loop-back.

Desta avaliação conclui-se que é ainda reduzido o desenvolvimento de novos métodos

para teste em-circuito de circuitos RF, particularmente no que diz respeito ao

desenvolvimento de infra-estruturas de teste e reutilização de recursos já disponíveis.

1.4 Contribuição apresentada nesta dissertação

Para ultrapassar as dificuldades inerentes ao teste de circuitos e sistemas de radiofrequência

focadas na primeira secção deste capítulo, é necessário o desenvolvimento de novas

metodologias de teste e de novas metodologias de projecto para a testabilidade deste tipo de

circuitos.

Page 25: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Introdução

5

O trabalho que é apresentado seguidamente consiste no estudo e desenvolvimento de

três novas metodologias de teste aplicadas ao teste de amplificadores RF, sendo dado especial

ênfase à aplicação dessas metodologias ao teste do LNA, permitindo dessa forma a obtenção

dos parâmetros típicos deste tipo de dispositivos.

O primeiro método (método da aproximação polinomial) é baseado na obtenção do

polinómio de 3ª ordem que melhor aproxima a função de transferência do LNA, representada

por um conjunto de pontos de coordenadas (Vin, Vout) obtidos com o LNA configurado em

modo de teste. Desta forma é possível a utilização dos coeficientes do polinómio de 3ª ordem

para calcular o ganho, o ponto de compressão do ganho de 1 dB, o ponto de intersecção de 3ª

ordem e a distorção harmónica do LNA.

O segundo método (método da correlação do sinal de saída com sinais em quadratura,

ou simplesmente da correlação cruzada) permite a obtenção do ganho, fase e distorção

harmónica do LNA através da realização de operações de correlação.

Finalmente o último método (método da auto-correlação), permite obter a relação

sinal-ruído (SNR) e o factor de ruído (NF) do LNA, através do cálculo da auto-correlação de

duas imagens do sinal de saída do LNA obtidas em dois instantes de tempo diferentes.

No capítulo 2 abordam-se os principais conceitos teóricos relacionados com os

parâmetros de caracterização de amplificadores RF. Seguidamente, no capítulo 3, são

apresentados em detalhe os três métodos propostos e os principais resultados de simulação

obtidos em MatLab para cada método de teste. No capítulo 4 são apresentados os resultados

experimentais obtidos para o método da aproximação polinomial. No capítulo seguinte

apresentam-se os resultados de simulação em ADS e alguns resultados experimentais

resultantes da aplicação do método de teste da aproximação polinomial à caracterização de

amplificadores RF de potência. No capítulo 6 são apresentadas as arquitecturas propostas para

a implementação em-circuito de cada um dos métodos. Neste capítulo são também

apresentados os resultados de simulação em Cadence-SpectreRF da implementação em-

circuito do método da aproximação polinomial, assim como todas as questões relacionadas

com o projecto de cada bloco constituinte da cadeia de teste. Finalmente, no capítulo 7 são

apresentadas as principais conclusões do trabalho realizado.

Page 26: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Introdução

6

Page 27: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 2

Análises de linearidade em amplificadores RF

Apesar de os circuitos em radiofrequência serem projectados como sistemas lineares, na

realidade as não-linearidades caracterizam muitas das limitações de desempenho destes

dispositivos. Os componentes activos e passivos ou a excursão limitada, simétrica ou não de

um sinal, são responsáveis pelos comportamentos não-lineares.

Neste capítulo serão apresentados os conceitos e as análises mais frequentes neste

contexto, que caracterizam um amplificador quanto à linearidade do seu comportamento.

2.1 Intermodulação e distorção harmónica

Para realizar o estudo da distorção, utiliza-se uma descrição das não-lineariedades do

amplificador por uma expansão em Série de Taylor [9]. Logo a resposta de saída do

amplificador pode ser modelada por uma não-linearidade cúbica, isto é, por uma função

expandida em Série de Taylor até ao 3º grau em termos do sinal de entrada da forma

representada em (1),

onde os coeficientes de Taylor são dados por:

)0(0 outa ν=

⋅⋅⋅++++= 33

2210 inininout aaaa νννν (1)

(2)

Page 28: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

8

01 | ==in

in

out

d

da νν

ν

02

2

2 | ==in

in

out

d

da νν

ν

03

3

3 | ==in

in

out

d

da νν

ν

Admita-se uma excitação de dois tons do tipo ( )ttAin 21 coscos ωων += , logo a saída é

dada por:

( ) ( )( ) ( ) ( )

( ) ( ) ( )[ ]( ) ( )[ ]tttAa

tttAattAa

ttAatAatAa

tAatAatAatAaaout

1243

1243

1233

3

2143

2143

2233

3241

2433

3

141

1433

3212

2212

2

22

221

12

221

21110

2cos2coscos

2cos2coscos3coscos

3coscoscoscos

2cos12cos1coscos

ωωωωωωωωωωωω

ωωωωωωωωωων

++−++

++−++++

++++−+

++++++=

Pode-se verificar que o espectro de saída consiste em harmónicos da forma

21 ωω nm + , com 3,2,1,0, ±±±=nm .

Nas tabelas 1 a 3 encontram-se representados os produtos de mistura de ordem 1, 2 e

3, respectivamente, e o efeito pelo qual são conhecidos. A tabela 1 descreve as respostas de 1ª

ordem, ou seja, a parte linear da resposta.

Tabela 1: Resposta de 1ª ordem ou linear.

Frequência da resposta

Amplitude da resposta

Tipo da resposta

1ω± Aa1 Resposta linear

2ω± Aa1 Resposta linear

(3)

(4)

(5)

(6)

Page 29: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

9

Na tabela 2 estão identificadas três formas de distorção não-linear de 2ª ordem, que

são típicas das não-linearidades de ordem par. A primeira corresponde à geração da 2ª

harmónica dos sinais de entrada às frequências 2ω1 e 2ω2. A forma referida como distorção de

intermodulação de 2ª ordem produz uma componente soma à frequência ω1+ω2 e uma

componente diferença à frequência ω1-ω2. Finalmente, dos batimentos entre ω1 e –ω1 e ω2 e –

ω2 resultam componentes à frequência zero que, sendo indistintas da polarização, se vão

manifestar como um desvio do ponto de repouso dependente do nível do sinal. Deve ainda

notar-se que a amplitude de todos os produtos é proporcional a A2, o que indica um

crescimento de 2 dB por cada dB de aumento do nível do sinal de entrada.

Tabela 2: Resposta não-linear de 2ª ordem.

Frequência da resposta

Amplitude da resposta

Tipo da resposta

12ω± 222

1 Aa

22ω± 222

1 Aa

Distorção harmónica de 2ª

ordem

21 ωω − 22 Aa

12 ωω − 22 Aa

21 ωω + 22 Aa

21 ωω −− 22 Aa

Distorção de intermodulação de

2ª ordem

11 ωω − 222

1 Aa

22 ωω − 222

1 Aa

Desvio do ponto de repouso

Na tabela 3 está representada a distorção de 3ª ordem que se distribui por quatro

grupos de efeitos.

O primeiro corresponde à geração da 3ª harmónica dos sinais de entrada às frequências

3ω1 e 3ω2.

Um outro grupo denominado conversão AM/AM e conversão AM/PM, refere-se às

componentes de distorção que caiem exactamente sobre as frequências dos sinais, sendo por

isso impossíveis de observar independentemente. Estas contribuições vão somar-se às

respostas lineares a ±ω1 e ±ω2. Tratando-se de uma soma vectorial, supõem-se que cada

Page 30: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

10

componente de distorção pode decompor-se em duas contribuições, uma em fase e outra em

quadratura, com a componente linear. A componente em fase representa a conversão

AM/AM, ou seja, a dependência do ganho do amplificador com o nível de excitação. Se a

soma das contribuições de 1ª e 3ª ordens produzir interferência destrutiva, à medida que a de

3ª ordem toma cada vez maior importância relativa diminui o nível da saída,

comparativamente ao esperado comportamento linear. Neste caso existe então compressão do

ganho. O principal efeito da componente em quadratura é fazer variar a fase da resposta do

amplificador em função da amplitude da excitação.

O grupo identificado por perda de sensibilidade é um caso particular do descrito

anteriormente. Enquanto que no caso anterior eram as próprias componentes do sinal que

produziam a conversão AM/AM e AM/PM sobre si próprios, neste caso são os efeitos não-

lineares devidos a determinada componente que vão introduzir variação do ganho do sistema

à outra. Este facto traduz-se na prática pela sensibilidade de um amplificador a um sinal fraco

ser dependente da presença de um outro sinal independente, mas significativamente mais

forte.

Tabela 3: Resposta não-linear de 3ª ordem.

Frequência da resposta

Amplitude da resposta

Tipo da resposta

13ω± 334

1 Aa

23ω± 334

1 Aa

Distorção harmónica de 3ª

ordem

122 ωω ± 334

3 Aa

212 ωω ± 334

3 Aa

122 ωω ±− 334

3 Aa

212 ωω ±− 334

3 Aa

Distorção de intermodulação de

3ª ordem

1ω± 334

3 Aa

2ω± 334

3 Aa

Conversão AM/AM e

conversão AM/PM

221 ωωω −+± 332

3 Aa

112 ωωω −+± 332

3 Aa

Perda de sensibilidade da

resposta

Page 31: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

11

O último grupo representado na tabela denomina-se por distorção de intermodulação

de 3ª ordem, IMD. Todos os seus produtos são resultantes de combinações do tipo 2ωi-ωj, ou

seja, ωi+(ωi-ωj). Distam portanto dos sinais de entrada de um valor igual à frequência

diferença. No caso de amplificadores de banda muito estreita esta diferença pode ser muito

baixa e os produtos de intermodulação podem aparecer dentro da banda do amplificador. Este

tipo de distorção é muito importante em sistemas multi-portadora com espaçamento uniforme

entre canais. Neste caso a intermodulação produzida pelo batimento entre dois canais

contíguos cai precisamente sobre os canais adjacentes, comportando-se como ruído. Ao

contrário das componentes de distorção de 2ª ordem, que podem ser eliminadas por filtragem,

a distorção de intermodulação de 3ª ordem não pode ser tratada por estes métodos lineares do

domínio da frequência. A única forma de reduzir os efeitos da IMD é evitar a sua geração,

compensando as funções de transferência não-lineares ímpares de ordem superior à primeira.

2.2 Ponto de compressão do ganho de 1 dB

Se considerarmos que a resposta de saída do LNA pode ser modelada por uma função

expandida em Série de Taylor até ao 3º grau, em termos do sinal de entrada, da forma

representada em (1) e aplicarmos ao LNA o sinal sinusoidal ( )tAin 0cosων = , a saída é dada

pela seguinte equação [9]:

O ganho em tensão para uma frequência ω0 é dado por:

Como o coeficiente a3 é tipicamente negativo, logo o ganho do LNA tende a diminuir

com o aumento da amplitude do sinal de entrada. A este efeito chama-se compressão do

ganho ou saturação.

( )( )

234

31

0

0 AaaGin

out +==ωνων

ν

( ) ( ) tAatAatAaAaAaaout 03

341

02

221

03

343

12

221

0 3cos2coscos ωωων +++++= (7)

(8)

Page 32: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

12

O ponto de compressão do ganho de 1 dB que está representado na figura 2 [9], é

definido como sendo o nível de potência para o qual a potência de saída diminui 1 dB em

relação à característica ideal (representada a tracejado na figura). Sendo assim tem-se que:

Da igualdade anterior tira-se que o ponto de compressão do ganho de 1 dB referenciado à

entrada, em termos de tensão, é dado por:

1dBP1dB saída

0-10-20-30 10 20 30

Pin (dBm)

Pou

t (dB

m)

0

-10

-20

10

20

P1d

B e

ntra

da

Ponto de Compressãodo Ganho de 1 dB

Figura 2: Representação gráfica do ponto de compressão do ganho de 1 dB.

2.3 Ponto de intersecção de 3ª ordem

Em amplificadores RF, a distorção de intermodulação é geralmente descrita pelos resultados

obtidos de um teste de dois tons. Os sinais supõem-se de igual amplitude e dentro da banda de

passagem do amplificador, ou seja, com uma separação de frequências muito inferior à

( )[ ] [ ] dBAaAaAa

dBPP idealreal

1log10log10

1

2212

110

2334

312

110 −=+

−=

31

11 3

4

10 201 a

aa

V dB

−=

(9)

(10)

Page 33: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

13

frequência central, de maneira a garantir uma resposta igual a cada tom. O desempenho do

amplificador é avaliado pela potência de saída de IMD, PIMD, para uma dada potência de sinal

PS, à entrada ou à saída. Vulgarmente é representada em termos absolutos por PIMDout dado

PSout, PIMD/PS, ou em termos relativos pela relação portadora-intermodulação, C/I|Ps

(C/IdB=PsoutdB-PIMDdB), ou ainda pelo ponto de intersecção de 3ª ordem, IP3, que é definido

seguidamente.

Para níveis de sinal em que as contribuições dos termos de ordem superior à 3ª não

sejam significativas, a potência de saída associada às riscas correspondentes às frequências

dos dois tons cresce a 1 dB/dB de entrada e a potência das riscas de intermodulação a 3 dB/dB

de entrada. Num gráfico de potência de saída (dBm) em função da potência de entrada (dBm),

como o representado na figura 3 [9], o sinal e a intermodulação são nessa zona duas rectas de

declive 1 dB/dB e 3 dB/dB, respectivamente. Extrapolando essas duas rectas obtém-se um

ponto de intersecção a que corresponderia uma potência de saída denominada ponto de

intersecção de 3ª ordem, IP3. Este ponto não reflecte necessariamente uma potência de saída

que o amplificador possa entregar à carga e também não pode ser encarado como a potência

de saída para a qual o sinal e a intermodulação têm o mesmo nível, porque muito antes de isso

acontecer deixamos de estar dentro da zona de sinal fraco.

-10

0

IP3 saída

IP3

entr

ada

PontoIntersecção3ª Ordem

Compressão

0 10 20-20-30-40-50

10

20

-10

-20

-30

-40

Pin (dBm)

Pou

t (dB

m)

Respo

sta lin

ear (

decli

ve=1)

Res

post

a 3ª

ord

em (d

ecliv

e=3)

Figura 3: Representação gráfica do ponto de intersecção de 3ª ordem.

Page 34: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

14

Considerando a resposta de saída do amplificador a um estímulo de dois tons, é

possível exprimir o ponto de intersecção de 3ª ordem em termos dos coeficientes da Série de

Taylor. Da equação (6), podemos facilmente retirar que Pω1, a potência de saída do sinal para

a frequência ω1, é dada por:

2211 2

1AaP =ω

Similarmente, define-se P2ω1-ω2 como a potência do produto de intermodulação para a

frequência 2ω1-ω2 por:

623212 32

9AaP =−ωω

Por definição estas duas potências igualam-se no ponto de intersecção de 3ª ordem. Se

definirmos a tensão do sinal de entrada no ponto de intersecção por VIP3, então de (11) e (12)

resolvendo para VIP3 chegamos a:

3

13 3

4

a

aVIP =

Como IP3 é igual à resposta linear de Pω1 no ponto de intersecção, temos que o valor

de IP3 referenciado à saída é dado por:

3

31

3

23

a

aIP out =

Como já foi dito anteriormente, o ponto IP3 não pode ser obtido directamente, pois

quando se atingem os valores em que deverá ocorrer o IP3, já o amplificador está

sobrecarregado. Note-se que a relação entre as potências de entrada e saída deixa de ser linear.

Uma forma de obter esse valor, e que é normalmente utilizada experimentalmente é

descrita em [10]. A partir da relação de declives entre a resposta linear e a resposta de 3ª

(11)

(12)

(13)

(14)

Page 35: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

15

ordem representada na figura 3 e da expressão do ganho de potência do amplificador, G,

apresentadas seguidamente, obtém-se uma expressão para o ponto IP3 dada pela equação

(18).

13

3 1 =−−

iin

out

PIP

PIP ω

33

3 212 =−

− −

iin

out

PIP

PIP ωω

iinout PPIPIPG −=−= 133 ω

( )2121213 ωωω −−+= PPPIP iin

Tendo-se obtido expressões para o ponto P1dB e para o ponto IP3, é agora possível

relacioná-los [10]. Portanto, as tensões estão relacionadas pelo factor 3.04, ou seja 9.64 dB.

032.31

3 =dB

IP

V

V

2.4 Gama dinâmica

Pode-se definir de um modo geral “gama dinâmica”, como sendo a gama de operação para a

qual um determinado componente ou sistema possui as características desejadas.

Para os amplificadores de potência, a gama de operação é limitada inferiormente pelo

nível de ruído e superiormente pelo ponto de compressão do ganho de 1 dB. Trata-se pois,

essencialmente da gama linear de operação do amplificador e dessa forma é chamada de gama

dinâmica linear (linear dynamic range – LDR).

(15)

(16)

(17)

(18)

(19)

Page 36: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

16

Para um LNA, a gama de operação é limitada inferiormente pelo ruído e

superiormente pelo nível máximo de potência para o qual a distorção de intermodulação se

torna inaceitável. A esta gama de operação dá-se o nome de gama dinâmica livre de sinais

espúrios (spurious-free dynamic range – SFDR).

-10

-10

IP3out

IP3 in

0 10 20-20-30-40-50

10

30

-30

-50

-70

-90

Pin (dBm)

Pou

t (dB

m)

P1dBout

P1d

Bin

SF

DR

LD

RNível Ruído

Figura 4: Representação gráfica da gama dinâmica.

Na figura 4 [9], representa-se uma ilustração onde estão definidos estes dois conceitos

diferentes de gama dinâmica. A SFDR é definida como sendo a potência máxima do sinal de

saída, para a qual a potência do produto de intermodulação de 3ª ordem é igual ao nível de

ruído do componente, podendo ser expressa pela seguinte equação:

212

1

ωω

ω

=P

PSFDR

Note-se que podemos escrever P2ω1-ω2 em termos de IP3 e Pω1 da seguinte maneira:

( )( )2

31

2123IP

PP ω

ωω =−

(20)

(21)

Page 37: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

17

Resolvendo a equação anterior em ordem a Pω1, substituindo em (20) e considerando

No como sendo a potência de ruído na saída do dispositivo, temos:

3

2

3

=

oN

IPSFDR

O resultado anterior pode ser escrito em termos de dB da seguinte forma:

( )oNIPdBSFDR −= 3)( 32

Se for especificado um valor para a relação sinal-ruído, esse valor deve ser adicionado

ao valor de No.

2.5 Ruído

Em amplificadores RF existem essencialmente dois tipos de ruído: ruído térmico e ruído de

Shot. O ruído térmico, também designado por ruído de Johnson, provém da agitação térmica

dos electrões num condutor. O ruído de Shot está associado a um fluxo de corrente através de

uma barreira de potencial. Este ruído é devido à flutuação da corrente, em torno do seu valor

médio, resultando da emissão aleatória de electrões ou lacunas.

Figura 5: Representação gráfica da relação sinal-ruído na saída de um amplificador RF.

(22)

(23)

Page 38: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Análises de linearidade em amplificadores RF

18

Uma grandeza de extrema importância na caracterização de amplificadores RF,

particularmente dos LNA, é o factor de ruído. Este é definido pelo quociente entre a relação

sinal-ruído na entrada do amplificador (S/N)i e a relação sinal-ruído na saída (S/N)o:

( )( )o

i

NS

NSF

/

/=

O factor de ruído é portanto uma medida da degradação da relação sinal-ruído

introduzida pelo amplificador, pelo que é sempre superior à unidade. É usual definir-se o

factor de ruído em unidades logarítmicas da seguinte forma:

FNF 10log10=

2.6 Conclusão

Neste capítulo foram abordados os conceitos mais importantes que caracterizam um

amplificador quanto à linearidade do seu comportamento.

Começámos por apresentar os conceitos relativos à distorção harmónica e

intermodulação. Depois foi apresentada a teoria relativa ao ponto de compressão do ganho de

1 dB e ao ponto de intersecção de 3ª ordem. Terminámos com uma breve referência aos

aspectos relacionados com a gama dinâmica e com o ruído em amplificadores RF.

Uma vez que estes conceitos e parâmetros de caracterização serão usados

recorrentemente nos próximos capítulos, entendeu-se dedicar-lhes um capítulo onde se

apresenta a sua definição, o modo como são habitualmente tomados e avaliados, e de que

modos estão relacionados entre si. No próximo capítulo são apresentadas as metodologias

propostas nesta dissertação para a estimação de alguns destes parâmetros.

(24)

(25)

Page 39: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 3

Metodologias de teste aplicadas à caracterização de amplificadores RF

Neste capítulo são apresentadas três novas metodologias de teste dedicadas à caracterização

de amplificadores RF [11]. As metodologias apresentadas são orientadas para o cálculo de

parâmetros típicos de caracterização de LNA. A primeira metodologia de teste é baseada

numa aproximação polinomial da função de transferência do LNA. As outras duas

metodologias de teste são baseadas na realização de operações de correlação entre o sinal de

saída do LNA e sinais de teste em fase e quadratura. Utilizando estas metodologias de teste

consegue-se calcular o ganho, o ponto de compressão do ganho de 1 dB, o ponto de

intersecção de 3ª ordem, a distorção harmónica, a relação sinal-ruído e o factor de ruído do

LNA. Para cada metodologia de teste são apresentados os principais resultados de simulação

obtidos em MatLab.

3.1 Descrição das novas metodologias de teste

3.1.1 Método da aproximação polinomial

O primeiro método de teste apresentado (método da aproximação polinomial), é baseado na

obtenção do polinómio de 3ª ordem que melhor aproxima a função de transferência do LNA,

representada por um conjunto de pontos de coordenadas (Vin, Vout) obtidos com o LNA

Page 40: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

20

configurado em modo de teste. Os coeficientes do polinómio de 3ª ordem podem ser

utilizados para calcular o ganho, o ponto de compressão do ganho de 1 dB e o ponto de

intersecção de 3ª ordem, através da utilização das equações (8), (10) e (14), respectivamente.

Partindo do conhecimento dos coeficientes do polinómio de 3ª ordem (ai), é ainda

possível determinar os coeficientes dos harmónicos (hi) usando a relação mencionada em [12]

e que é apresentada de seguida.

Para definir a relação entre os coeficientes do polinómio de 3ª ordem que melhor

aproxima a função de transferência do LNA (pi) e os coeficientes dos harmónicos respectivos

(hi), vamos considerar dois vectores coluna, P e H, que contêm precisamente esses

coeficientes:

[ ]TppppP 3210=

[ ]ThhhhH 4321=

A relação entre os dois vectores é definida da seguinte forma:

PACH ⋅⋅=

sendo a matriz C dada por:

=

44

3433

242322

14131211

000

00

0

c

cc

ccc

cccc

C

com os coeficientes cij definidos da seguinte forma:

+>

==

=

casosoutrosR

imparji

ji

ji

c

ij

ij

;

)(;0

;0

1;2/1

(26)

(27)

(28)

(29)

(30)

Page 41: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

21

em que,

−−

= −

2/)(

12

ij

jR j

ij

A matriz A é definida por:

=

44

33

22

11

000

000

000

000

a

a

a

a

A

Com os coeficientes aij dados por:

=≠

= − jiV

jia jij ;

;01

Alternativamente, se estivermos interessados apenas nos coeficientes dos harmónicos

de 1ª, 2ª e 3ª ordem podemos efectuar esse cálculo directamente utilizando a equação (7).

A relação entre um harmónico (hi) e o harmónico da fundamental (h1) é definida

através da seguinte equação:

=

110log20

h

hH n

n

3.1.2 Método da correlação cruzada

O método utilizado para a determinação da função de transferência de ganho e fase do LNA,

está representado na figura 6 [13].

(34)

(31)

(32)

(33)

Page 42: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

22

x(t)=Asin(wt)

xc=Acos(nwt)

xs=Asin(nwt)Ryxs(0)

Ryxc(0)

y(t)LNA

Correlador

Correlador

Figura 6: Aplicação do método da correlação cruzada ao teste do LNA.

A obtenção das medidas do ganho e da fase é baseada no cálculo de duas correlações.

Uma correlação entre o sinal de saída y(t) do LNA e o sinal de teste xs=Asin(ωt) e outra entre

y(t) e o sinal de teste xc=Acos(ωt), ambos para um atraso igual a zero, ou seja, Ryxs(0) e

Ryxc(0), respectivamente. Através destes dois valores pode-se obter o ganho e a fase do LNA

usando as respectivas equações.

Realizando estas medidas para diferentes frequências pode-se obter a resposta em

frequência do amplificador. A resposta do circuito também pode ser caracterizada em termos

de parâmetros relacionados com a distorção, realizando para o efeito outras correlações. Estes

parâmetros podem ser calculados usando os valores do ganho, |H|, obtidos efectuando

correlações entre o sinal de saída y(t) do LNA e os harmónicos dos sinais de teste

xs(nω)=Asin(nωt) e xc(nω)=Acos(nωt), respectivamente.

Assim, mediante a utilização de estímulos de teste adequados é possível a

determinação de alguns parâmetros, tais como, a relação entre a amplitude da componente

fundamental e cada um dos harmónicos de ordem superior gerados.

222

2sc yxyx RR

AH +=

=∠

s

c

yx

yx

R

RarctgH

(35)

(36)

Page 43: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

23

Se considerarmos a resposta do LNA a um estímulo de teste com dois tons da forma

x(t)=Asin(ω1t)+Asin(ω2t) e efectuarmos a sua correlação com xs=Asin(ω2t) e xc=Acos(ω2t) e

posteriormente com xs=Asin((2ω2-ω1)t) e xc=Acos((2ω2-ω1)t), pode-se calcular a relação de

amplitudes entre a componente fundamental à frequência ω2 e o harmónico responsável pela

distorção de intermodulação de 3ª ordem de frequência 2ω2-ω1, H12.

3.1.3 Método da auto-correlação

Finalmente, o terceiro método (método da auto-correlação), utiliza a correlação para calcular

a relação sinal-ruído, SNR, do LNA. Para tal, obtém-se a correlação entre duas imagens, f e g,

do sinal em observação, obtidas em diferentes instantes de tempo, como representado na

figura 7. Utilizando este método, o valor da SNR do LNA é dado pela equação (37), sendo c, o

valor normalizado da correlação, dado pela equação (38).

Figura 7: Obtenção de duas imagens do sinal em diferentes instantes de tempo, para o cálculo da SNR por correlação.

c

cSNR

−=

1

(37)

Page 44: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

24

Se calcularmos a relação sinal-ruído à entrada do LNA, SNRi, e a relação sinal-ruído à

saída do LNA, SNRo, pode-se obter o factor de ruído do LNA utilizando a equação (24).

3.2 Resultados de simulação em MatLab

Nesta secção são apresentados os principais resultados de simulação, obtidos por simulação

em MatLab, para as três novas metodologias de teste propostas.

3.2.1 Método da aproximação polinomial

Usando um conjunto de pontos de coordenadas (Vin, Vout) que definem a função de

transferência de um LNA, obtiveram-se os coeficientes ai =(a0, a1, a2, a3) do polinómio de 3ª

ordem que melhor aproxima esses mesmos pontos. Para tal utilizou-se a função polyfit do

MatLab [14]. Na figura 8 representa-se a função de transferência real em tensão (vermelho),

construída com os pontos anteriormente considerados e a respectiva função de transferência

em tensão calculada considerando os coeficientes do polinómio de 3ª ordem (azul). Verifica-

se que a função de transferência obtida com os coeficientes do polinómio de 3ª ordem

aproxima com bastante exactidão a função de transferência real que foi tomada como

referência.

O número de pontos considerados na característica de transferência do LNA, a

localização desses pontos na característica, ou seja, o facto de se considerar mais pontos na

região linear do LNA do que na região não-linear, ou vice-versa e o espaçamento entre esses

pontos, são alguns factores que se devem ter em conta e sobre os quais se devem efectuar

alguns estudos para avaliar a sua influência nos resultados finais obtidos. No caso apresentado

foram considerados no total 36 pontos igualmente espaçados; 18 pontos na região linear e

outros 18 pontos na região não-linear do LNA.

21

21

22

−−=

ggff

ggffc

(38)

Page 45: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

25

O primeiro passo para calcular os valores do ganho, do ponto de compressão do ganho

de 1 dB e do ponto de intersecção de 3ª ordem, como já foi referido anteriormente, consiste

em calcular os coeficientes do polinómio de 3ª ordem que melhor aproxima a função de

transferência do LNA. Logo o LNA pode ser descrito pela equação (1) em que

ai=(a0, a1, a2, a3) representam os coeficientes calculados anteriormente. Utilizando os

coeficientes do polinómio de 3ª ordem, calcula-se o ganho, o ponto de compressão do ganho

de 1 dB e o ponto de intersecção de 3ª ordem através da utilização das equações (8), (10) e

(14), respectivamente.

Figura 8: Função de transferência Vin vs Vout do LNA e respectiva função de transferência obtida com o polinómio de 3ª ordem.

Na tabela 4 são apresentados os valores de P1dBout e P1dBin, os pontos de compressão

do ganho de 1 dB referenciados à saída e entrada do LNA, respectivamente e os valores de

IP3out e IP3in, os pontos de intersecção de 3ª ordem referenciados à saída e à entrada do LNA,

respectivamente. São apresentados os valores teóricos esperados e os valores obtidos por

simulação em MatLab aplicando o método de teste da aproximação polinomial.

Tabela 4: Valores teóricos e de simulação em MatLab para P1dB e IP3 do LNA.

Parâmetros Resultados teóricos (dBm)

Resultados simulação (dBm)

P1dB in -5.819 -6.016 P1dB out 3.181 2.902

IP3in 3.821 3.619 IP3out 13.821 13.538

Page 46: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

26

Verifica-se que as diferenças entre os valores teóricos esperados e os valores obtidos

por simulação em MatLab, são muito pequenas.

Os pontos calculados anteriormente estão representados graficamente na figura 9.

Nesta figura, a curva A (vermelho) representa a função de transferência do LNA obtida

considerando os coeficientes do polinómio de 3ª ordem. O segmento de recta B (azul)

representa a resposta linear/ideal do LNA e o segmento de recta C (verde) representa a

resposta de 3ª ordem do LNA. A intersecção entre os segmentos de recta B e C dá-nos o ponto

de intersecção de 3ª ordem do LNA.

Figura 9: Representação gráfica de P1dB e IP3 do LNA.

Figura 10: Gráfico do ganho do LNA em função da potência de entrada.

Page 47: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

27

Na figura 10 representa-se o gráfico do ganho do LNA em função do valor da potência

de entrada. Considerou-se um valor teórico do ganho na zona linear do LNA igual a 10 dB.

Comparando os valores do ganho representados no gráfico com o valor teórico, pode

concluir-se que o método de teste fornece uma boa estimativa do valor deste parâmetro.

Como já foi referenciado anteriormente, partindo do conhecimento dos coeficientes do

polinómio de 3ª ordem (ai), é possível determinar os coeficientes dos harmónicos (hi) usando

a relação mencionada em [12] e apresentada na secção 3.1.1. Sendo assim, utilizando a

equação (34), calculou-se a razão entre os harmónicos de 2ª e 3ª ordem e a componente

fundamental, H2 e H3, respectivamente, para diferentes amplitudes do sinal de entrada. Os

resultados obtidos são apresentados na tabela 5 e graficamente na figura 11.

Efectuando uma análise espectral pelo método da FFT, obtiveram-se os mesmos

resultados para os parâmetros H2 e H3.

Tabela 5: Valores da razão entre os harmónicos de 2ª e 3ª ordem e a fundamental.

Vin (mV) H2 (dBc) H3 (dBc)

1 -51.67 -82.80 5 -37.65 -54.79 10 -31.48 -42.61 15 -27.71 -35.32 18 -25.93 -31.96 20 -24.86 -29.97 25 -22.45 -25.62 30 -20.24 -21.83 36 -17.67 -17.68

Figura 11: Gráfico de H2 e H3 em função da tensão de entrada do LNA.

Page 48: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

28

Como já foi referido anteriormente, a localização dos pontos utilizados para obter os

coeficientes do polinómio de 3ª ordem e o espaçamento entre esses pontos, são factores que

podem ter alguma importância e influência nos resultados obtidos. Para além disso, se

pensarmos numa implementação em-circuito deste método, existem sempre erros associados à

geração da amplitude dos estímulos de teste e erros de medição da amplitude dos estímulos de

saída, associados a leituras deficientes efectuadas nos aparelhos de medida.

De seguida são apresentados os principais resultados obtidos no estudo efectuado

sobre o impacto das particularidades anteriores no cálculo dos parâmetros P1dB e IP3 de um

LNA genérico.

Os primeiros resultados apresentados dizem respeito ao erro introduzido no cálculo

dos parâmetros anteriores, devido à consideração de pontos aleatórios pertencentes à função

de transferência do LNA. Dessa forma consegue-se simular o efeito de se considerar pontos

que não estão igualmente espaçados entre si e o efeito de se considerarem mais ou menos

pontos na região linear do que na região não-linear da função de transferência do LNA.

Partindo de um conjunto de pontos que define a função de transferência de um LNA genérico

e efectuando 10000 simulações no MatLab, de forma a considerar diferentes conjuntos de

pontos para o cálculo do polinómio de 3ª ordem, obtiveram-se os resultados apresentados na

tabela 6, para o valor médio do erro e para o desvio padrão de cada um dos parâmetros

considerados no estudo efectuado.

Tabela 6: Valores médios do erro e do desvio padrão (pontos aleatórios da função de transferência do LNA).

Parâmetros Valores médios do erro (%) Valores do desvio padrão (%)

P1dB in 1.174 4.321 P1dB out 0.845 3.005

IP3in 1.183 4.322 IP3out 1.252 4.972

Verifica-se que os valores médios do erro e do desvio padrão são relativamente

pequenos. Logo podemos concluir que os factores descritos anteriormente não são muito

críticos para a obtenção de valores correctos para os parâmetros P1dB e IP3 do LNA.

Obtiveram-se também resultados de simulação referentes ao erro introduzido no

cálculo dos parâmetros P1dB e IP3, devido aos erros relacionados com a geração incorrecta

dos estímulos de teste e com a medição incorrecta nos aparelhos de medida das respectivas

Page 49: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

29

respostas. Considerando então para efeitos de simulação um erro no valor aplicado à entrada e

um erro na leitura do valor de saída a variar entre 0.5% e 2%, obtiveram-se após 10000

simulações em MatLab os resultados apresentados na tabela 7.

Tabela 7: Valores médios do erro e do desvio padrão (erro nas medidas do sinal de entrada/saída do LNA).

Erro nas medidas entrada/saída (%)

Parâmetros Valores médios do erro (%) Valores do desvio padrão (%)

P1dB in 0.235 4.078 P1dB out 0.297 5.116

IP3in 0.232 4.078 0.5

IP3out 0.294 5.116 P1dB in 0.945 8.410 P1dB out 1.151 10.517

IP3in 0.942 8.409 1.0

IP3out 1.148 10.516 P1dB in 4.036 20.764 P1dB out 4.829 25.666

IP3in 4.033 20.763 2.0

IP3out 4.826 25.665

Neste caso, pequenos erros associados às medidas dos valores de entrada e saída do

LNA (2%), provocam valores médios do erro e valores do desvio padrão dos parâmetros

P1dB e IP3 consideráveis. Conclui-se portanto que devemos ter algum cuidado ao efectuar

estas medições experimentalmente, de forma a minimizarmos os erros introduzidos no cálculo

destes parâmetros do LNA.

3.2.2 Método da correlação cruzada

Seguidamente são apresentados os resultados de simulação, obtidos em MatLab, para o

segundo método de teste proposto. Os resultados foram obtidos efectuando a correlação

entre o sinal de saída do LNA, y(t), com o 2º e 3º harmónico dos estímulos de teste, ou seja,

com xs=Asin(nωt) e xc=Acos(nωt), para n=2, 3. O estímulo sinusoidal aplicado à entrada do

LNA foi escolhido com amplitudes entre 1 mV e 36 mV e com uma frequência igual a

268.435456 MHz. Para modelar o LNA, utilizaram-se os coeficientes do polinómio de 3ª

ordem calculados no método anterior.

Page 50: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

30

Os valores obtidos para a razão entre o 2º e 3º harmónico e a componente

fundamental, H2 e H3, respectivamente, foram os mesmos dos apresentados na tabela 5 para o

primeiro método de teste.

Considerando a resposta do LNA a um estímulo de dois tons, da forma

x(t)=Asin(ω1t)+Asin(ω2t) e efectuando as operações de correlação apropriadas, obteve-se a

relação entre a componente fundamental de frequência ω2 e a componente de distorção de

intermodulação de 3ª ordem de frequência 2ω2-ω1. Os resultados obtidos são apresentados na

tabela 8.

Tabela 8: Relação entre a componente fundamental e a componente de intermodulação de 3ª ordem.

Vin (mV) H12 (dBc)

1 -73.25 5 -45.16 10 -32.67 15 -24.84 18 -20.99 20 -18.59 25 -12.80 30 -6.50 36 5.17

Os resultados obtidos por correlação foram mais uma vez validados através da

realização de uma análise espectral utilizando o método da FFT, tendo-se obtido resultados

extremamente semelhantes.

Foram realizadas também simulações, de forma a estudar uma possível utilização de

sinais de correlação quadrados em vez de sinais sinusoidais no cálculo dos valores de H2, H3 e

H12, uma vez que os sinais de teste quadrados são mais fáceis de gerar, utilizando por

exemplo os osciladores disponibilizados na maior parte dos receptores e emissores RF.

Considerando a resposta do LNA, y(t), a um estímulo sinusoidal da forma

x(t)=Asin(ωt), efectuou-se a sua correlação com o sinal de correlação quadrado em fase,

xs=A.square(nωt), e com o sinal de correlação quadrado em quadratura,

xc=A.square(nωt+π/2), de forma a obter os novos valores para a razão entre o 2º e 3º

harmónico e a componente fundamental, H2 e H3, respectivamente.

De forma a comparar os valores calculados com os valores obtidos anteriormente com

a utilização de estímulos de teste sinusoidais, calculou-se o erro introduzido nos valores de H2

e H3 para valores de amplitude dos sinais de teste a variar entre 1 mV e 36 mV e para

Page 51: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

31

diferentes valores de frequência de amostragem dos sinais. Foram considerados 8 períodos de

sinal com frequências de amostragem a variar entre 512 amostras/período e 8192

amostras/período. Os resultados obtidos encontram-se representados nos gráficos das figuras

12 e 13.

Figura 12: Erro introduzido no cálculo de H2, considerando sinais de correlação quadrados.

Figura 13: Erro introduzido no cálculo de H3, considerando sinais de correlação quadrados.

Page 52: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

32

Pela observação dos gráficos anteriores, podemos concluir que para valores

suficientemente elevados da frequência de amostragem o erro introduzido no cálculo de H2 e

H3 é muito pequeno. No entanto, convém salientar que para valores pequenos de amplitude do

sinal, mesmo que se considere valores da frequência de amostragem elevados, o erro

introduzido no cálculo de H3 ainda é considerável.

Considerando posteriormente a resposta do LNA, y(t), a um estímulo de dois tons da

forma x(t)=Asin(ω1t)+Asin(ω2t) e efectuando as operações de correlação entre y(t) e

xs=A.square(ω2t) e entre y(t) e xc=A.square(ω2t+π/2) e depois as operações de correlação

entre y(t) e xs=A.square((2ω2- ω1)t) e entre y(t) e xc=A.square((2ω2-ω1)t+π/2), obteve-se o

erro introduzido no cálculo dos valores de H12. Os resultados obtidos encontram-se

representados no gráfico da figura 14.

Figura 14: Erro introduzido no cálculo de H12, considerando sinais de correlação quadrados.

Mais uma vez, podemos concluir que para valores suficientemente elevados da

frequência de amostragem o erro introduzido no cálculo de H12 é pequeno. No entanto, tal

como no caso anterior, para valores pequenos de amplitude de sinal, mesmo que se

considerem valores da frequência de amostragem elevados, o erro introduzido no cálculo de

H12 ainda é considerável.

Page 53: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

33

Tendo em consideração o estudo que foi efectuado, podemos concluir que é válida a

utilização de sinais de correlação quadrados em alternativa a sinais sinusoidais, que são

normalmente mais difíceis de gerar para frequências elevadas (radiofrequência).

Outro aspecto que também convém estudar, é avaliar o erro introduzido nos cálculos

de H2 e H3 por variações no desfasamento de 90º entre os sinais em fase e quadratura. Como é

sabido a geração de sinais em quadratura, ainda por cima para frequências extremamente

elevadas, não é fácil e muitas vezes existem pequenos erros na geração desse desfasamento de

90º. Considerando diferentes amplitudes do sinal de entrada do LNA e dos sinais de teste em

fase e quadratura, obtiveram-se resultados para erros no desfasamento de 90º entre 1 e 10

graus. Os resultados obtidos para H2 estão representados na figura 15. Verifica-se que mesmo

para erros de desfasamento iguais a 10 graus e para amplitudes dos sinais mais elevadas, o

erro introduzido é inferior a 1.5%. No caso do cálculo de H3 verificou-se que os erros

introduzidos eram desprezáveis e por isso optou-se por não apresentar os resultados

graficamente.

Conclui-se então que não será necessário ter uma grande precisão na geração dos

sinais de teste em fase e quadratura para obter resultados satisfatórios para este método de

teste.

Figura 15: Erro introduzido no cálculo de H2, considerando erros no desfasamento de 90º entre 1 e 10 graus.

Page 54: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

34

3.2.3 Método da auto-correlação

Para validar este método compararam-se os valores da relação sinal-ruído, SNR, obtidos

utilizando este método de teste com os valores da SNR calculados usando a equação teórica

dada por SNR=σs/σn. Aplicou-se ao LNA um sinal sinusoidal de frequência igual a

536.870912 MHz e 1 V de amplitude. A este sinal adicionou-se ruído com 1 mV de

amplitude.

Para obter imagens do sinal em dois instantes de tempo diferentes atrasou-se o sinal de

um tempo igual a ∆t (número inteiro de períodos do sinal).

Na figura 16 está representado graficamente o erro introduzido por este novo método

de teste no cálculo da SNR, para diferentes valores de amostras por período de sinal e para

diferentes valores do número de períodos de sinal considerados. Pode-se concluir pela análise

deste gráfico que mesmo para pequenos valores da frequência de amostragem e para um

número pequeno de períodos de sinal se obtêm boas estimativas do valor da SNR.

Figura 16: Erro da SNR (diferença entre os valores do método proposto e os valores da equação teórica).

Page 55: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

35

3.3 Conclusão

Neste capítulo foram apresentadas três novas metodologias de teste dedicadas à caracterização

de amplificadores RF. Estas metodologias de teste permitem-nos calcular o ganho, o ponto de

compressão do ganho de 1 dB, o ponto de intersecção de 3ª ordem, a distorção harmónica, a

relação sinal-ruído e o factor de ruído de amplificadores RF.

A primeira metodologia de teste apresentada, consiste na obtenção dos coeficientes do

polinómio de 3ª ordem que melhor aproxima a função de transferência de um LNA. As outras

duas metodologias de teste são baseadas na realização de operações de correlação entre o

sinal de saída do LNA e sinais de teste em fase e quadratura.

Para as metodologias de teste descritas anteriormente foram apresentados os principais

resultados de simulação obtidos em MatLab, o que nos permitiu validar por simulação a

aplicabilidade de cada novo método de teste proposto para a caracterização do LNA, em

termos dos parâmetros descritos anteriormente.

Para o caso do método de teste da aproximação polinomial, o qual irá ser desenvolvido

nos próximos capítulos, obtiveram-se resultados de simulação de forma a estudar a

consideração de pontos aleatórios pertencentes à função de transferência do LNA e de forma a

estudar o impacto de erros relacionados com a geração incorrecta dos estímulos de teste e com

a medição incorrecta nos aparelhos de medida das respectivas respostas. No primeiro caso, os

valores médios do erro e o desvio padrão, são relativamente pequenos o que nos permite

concluir que não será necessário ter muito cuidado com esse aspecto. No segundo caso, os

valores médios do erro e os valores do desvio padrão introduzido no cálculo dos valores dos

parâmetros P1dB e IP3 são consideráveis. Conclui-se portanto que devemos ter algum

cuidado ao efectuar estas medições experimentalmente, de forma a minimizarmos os erros

introduzidos no cálculo dos parâmetros P1dB e IP3 do LNA.

No caso do método de teste da correlação cruzada, foi apresentado um estudo que nos

permitiu concluir positivamente acerca da utilização de sinais de correlação quadrados em vez

de sinais sinusoidais. Efectuou-se também um estudo do erro introduzido no cálculo dos

parâmetros H2 e H3 por variações no desfasamento de 90º entre os sinais em fase e quadratura.

Conclui-se que não é necessário ter uma grande precisão na geração dos sinais de teste em

fase e quadratura para obter resultados satisfatórios para este método de teste.

Page 56: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Metodologias de teste aplicadas à caracterização de amplificadores RF

36

Page 57: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 4

Resultados experimentais

Neste capítulo são apresentados os principais resultados obtidos experimentalmente para o

primeiro método de teste proposto. Os primeiros resultados experimentais apresentados foram

obtidos através da utilização do Kit CC1010 da Chipcon [15] que incorpora um

emissor/receptor RF, assim como um microcontrolador que permite a programação de cada

bloco, a definição de várias configurações de teste e a geração e aquisição dos estímulos de

teste. Numa segunda fase obtiveram-se resultados experimentais para um LNA, recorrendo à

utilização de um pequeno protótipo de teste construído com componentes RF disponíveis

comercialmente.

4.1 Resultados obtidos com o Kit CC1010 da Chipcon

O Kit CC1010 da Chipcon cuja fotografia é apresentada na figura 17, usa um receptor RF

superheterodino (868 MHz) cujo diagrama de blocos é representado na figura 18.

Este receptor tem uma saída analógica RSSI (Received Signal Strength Indicator),

cujo valor da tensão pode ser medido por um conversor analógico-digital.

Page 58: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

38

Figura 17: Fotografia do Kit CC1010 da Chipcon.

LNA Misturador

VRSSI

Andar IFPIN~

POUT

GeradorSinal RF

ADC

Desmod.

A/DLO

Figura 18: Diagrama de blocos do receptor RF superheterodino do Kit CC1010 da Chipcon.

A metodologia de teste implementada consiste na obtenção da função de transferência

Vin vs Vout da cadeia formada pelo LNA, misturador, medidor de tensão RSSI e ADC do

receptor RF. Para a obtenção desta característica, utilizando um gerador de sinal RF, aplica-se

um conjunto de níveis de potência na entrada do receptor RF e procede-se à medição do valor

da tensão na saída RSSI do receptor RF. O valor da tensão RSSI é medido através do

conversor analógico-digital on-chip. O valor desta tensão está relacionado com a potência à

saída do misturador do receptor RF pela expressão (39), e desta forma, através de uma

simples conversão dos valores de potência de entrada e saída para valores respectivos de

Page 59: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

39

tensão de entrada e saída, pode-se obter a função de transferência pretendida. Os valores

obtidos experimentalmente para a potência à entrada e à saída da cadeia de recepção

constituída pelo LNA, misturador, medidor de tensão RSSI e ADC encontram-se

representados na tabela 9.

RSSIOUT VP ×−= 9.46

Tabela 9: Valores experimentais da potência de entrada/saída do receptor RF.

Pin (dBm) Pout (dBm)

-75.00 -24.00 -66.99 -16.01 -63.47 -12.59 -60.97 -10.13 -59.03 -8.26 -57.45 -6.82 -56.12 -6.05 -54.95 -5.47 -53.92 -5.07 -53.01 -4.75

Seguidamente procede-se ao cálculo dos coeficientes do polinómio de 3ª ordem que

melhor aproxima essa função de transferência. Utilizando posteriormente os coeficientes

calculados e recorrendo ao conjunto de equações matemáticas apresentadas nos capítulos

anteriores, obtém-se o ponto de compressão do ganho de 1 dB e o ponto de intersecção de 3ª

ordem da cadeia, representados na tabela 10.

Tabela 10: Resultados experimentais para P1dB e IP3 do receptor RF.

Parâmetro Resultados experimentais (dBm)

P1dB in -57.60 P1dB out -7.46

IP3in -47.96 IP3out 3.18

(39)

Page 60: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

40

Na figura 19-a), a curva A (vermelho) representa os pontos medidos da função de

transferência da cadeia de recepção constituída pelo LNA, misturador, medidor de tensão

RSSI e ADC e a curva B (azul) representa o polinómio de 3ª ordem que melhor aproxima esse

conjunto de pontos. Na figura 19-b), representa-se graficamente os pontos P1dB e IP3. Na

folha de características do Kit apenas é fornecido o valor de IP3in=-26 dBm. No entanto este

parâmetro refere-se apenas ao conjunto formado pelo LNA e pelo misturador. Devido a este

facto, para este parâmetro não é possível efectuar uma comparação entre o resultado obtido

pelo método de teste e o valor da folha de características do dispositivo.

Pela observação da característica A (vermelho) do gráfico da figura 20, que representa

o ganho da cadeia de recepção formada pelo LNA, misturador, medidor de tensão RSSI e

ADC, em função da potência de entrada, verifica-se que o valor do ganho na zona linear é

aproximadamente igual a 51 dB, o que, pelas mesmas razões anteriores, também difere do

valor 53.9 dB apresentado na folha de características.

Para podermos validar a aplicabilidade do método de teste devemos comparar os

resultados obtidos experimentalmente com os resultados obtidos com a aplicação do método

de teste. Isso pode ser feito comparando as duas características de ganho do gráfico da figura

20. Verifica-se que de facto as diferenças para os valores do ganho são muito pequenas, não

ultrapassando os 0.5 dB.

a) b)

Figura 19: Características de transferência do LNA. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do conjunto (LNA, misturador, medidor de tensão RSSI e ADC) e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do conjunto (LNA, misturador, medidor de tensão RSSI e ADC).

Page 61: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

41

Figura 20: Ganho em função da potência de entrada (LNA, misturador, medidor de tensão RSSI e ADC).

4.2 Resultados obtidos com o protótipo de teste

Para se obterem mais resultados experimentais de validação do método de teste da

aproximação polinomial, procedeu-se à montagem em laboratório do sistema representado no

diagrama de blocos da figura 21.

LNAAmpLog

VRSSI PlacaAquisição

Dados

PIN

~POUT

GeradorSinal RF

Figura 21: Diagrama de blocos do protótipo de teste.

Nas figuras 22-a) e 22-b), mostram-se fotografias das placas de circuito impresso do

LNA e do amplificador logarítmico utilizados. O protótipo de teste é constituído por um LNA

Page 62: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

42

(MAX2611 da Maxim) [16] e pelo amplificador logarítmico (AD8310 da Analogue Devices)

[17].

a) b)

Figura 22: Fotografias das placas de circuito impresso fabricadas. a) Placa de circuito impresso do LNA; b) Placa de circuito impresso do amplificador logarítmico.

Utilizando um gerador de sinal RF (frequência igual a 200 MHz), aplicaram-se à

entrada do LNA sucessivos níveis de potência. Com medidas da tensão de saída, VRSSI, do

amplificador logarítmico (obtidas com uma placa de aquisição de dados), calcularam-se os

valores da potência de saída do LNA através da equação (40).

SLOPE

SLOPERSSIOUT V

PVVP 0×+

=

Os valores de VSLOPE=+24 mV/dBm e P0=-93.45 dBm foram obtidos da função de

transferência do amplificador logarítmico, representada na figura 23.

(40)

Page 63: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

43

Figura 23: Função de transferência do amplificador logarítmico.

Os valores obtidos experimentalmente para a tensão de saída do amplificador

logarítmico, VRSSI, para diferentes níveis da potência de entrada do LNA, encontram-se

representados na tabela 11.

Tabela 11: Valores experimentais da tensão de saída do amplificador logarítmico, para diferentes níveis da potência de entrada do LNA.

Pin (dBm) VRSSI (V)

-47.0 1.5615 -35.0 1.8499 -28.9 1.9910 -25.4 2.0742 -22.9 2.1329 -21.0 2.1782 -19.4 2.2150 -18.1 2.2429 -16.9 2.2676 -15.9 2.2877 -15.0 2.3051 -14.1 2.3218

Na tabela 12 são apresentados os valores de P1dBout e P1dBin, (pontos de compressão

do ganho de 1 dB referenciados à saída e à entrada do LNA, respectivamente) e os valores de

Page 64: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

44

IP3out e IP3in, (ponto de intersecção de 3ª ordem referenciado à saída e à entrada do LNA,

respectivamente).

Na figura 24-a) está representado o conjunto de pontos que definem a função de

transferência Vin vs Vout do LNA, assim como o polinómio que melhor aproxima esse conjunto

de pontos. Os parâmetros P1dB e IP3 são representados graficamente na figura 24-b).

Tabela 12: Valores de P1dB e IP3 do LNA, obtidos experimentalmente.

Parâmetro Resultados do método de

teste (dBm) Valores da folha de

características (dBm)

P1dB in -14.03 ---------- P1dB out 3.39 2.90

IP3in -4.39 ---------- IP3out 14.02 13.00

Comparando os resultados experimentais obtidos com os resultados fornecidos na

folha de características do LNA utilizado, verifica-se que se obtiveram resultados muito

idênticos. Para o caso do parâmetro P1dB a diferença entre os valores não ultrapassa os

0.5 dB, enquanto para o parâmetro IP3 a diferença é de 1 dB.

a) b)

Figura 24: Função de transferência do LNA obtida experimentalmente. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do LNA e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do LNA.

Page 65: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

45

Figura 25: Gráfico do ganho em função da potência de entrada do LNA.

O gráfico do ganho em função da potência aplicada à entrada do LNA é apresentado

na figura 25. A curva A (vermelho) representa os valores obtidos experimentalmente com o

protótipo de teste e a curva B (azul) representa os valores obtidos pelo método de teste da

aproximação polinomial.

Observando a figura anterior, verifica-se que as diferenças entre as duas características

são muito pequenas. Para além disso, se compararmos o valor do ganho para potências mais

baixas obtido da característica B (azul), que é aproximadamente igual a 18.4 dB, com o valor

presente na folha de características (ganho=18.5 dB), conclui-se que de facto a diferença entre

o valor do ganho obtido pela implementação do método e o valor de referência do fabricante é

muito pequena.

4.3 Conclusão

Neste capítulo foram apresentados os resultados experimentais obtidos para o método de teste

da aproximação polinomial.

O primeiro caso apresentado utilizou a cadeia de recepção formada pelo LNA,

misturador, medidor de tensão RSSI e ADC, disponibilizada no Kit CC1010 da Chipcon. Os

Page 66: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Resultados experimentais

46

resultados obtidos foram razoáveis, mas no entanto os dados fornecidos na folha de

características do dispositivo eram escassos e não eram referentes exactamente à configuração

de teste utilizada, o que nos limitou muito em termos de possuirmos valores de referência para

efectuar uma análise comparativa entre os valores dos diversos parâmetros obtidos.

Devido a este facto, foi implementado um protótipo de teste para obter resultados

experimentais para um LNA. Neste protótipo de teste, utilizou-se um amplificador

logarítmico para fazer a conversão da tensão sinusoidal à saída do LNA para um valor de

tensão DC. Os resultados finais obtidos para os parâmetros P1dB, IP3 e ganho foram bons,

uma vez que os diferenças entre os resultados obtidos com o método de teste e os valores

indicados na folha de características do LNA, não ultrapassaram as décimas de dB para o caso

dos parâmetros P1dB e ganho e 1 dB para o parâmetro IP3.

Foram obtidos resultados experimentais que nos permitem concluir positivamente

acerca da aplicabilidade do método de teste da aproximação polinomial no cálculo de

parâmetros como o ganho, P1dB e IP3 do LNA.

Page 67: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 5

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

Neste capítulo é apresentada a avaliação da viabilidade da aplicação do método de teste da

aproximação polinomial ao teste e caracterização de amplificadores de potência RF.

Começamos por apresentar algumas generalidades sobre amplificadores de potência,

assim como uma breve análise às diversas classes de amplificação existentes.

Após esta breve introdução teórica, é apresentado um conjunto de resultados de

simulação obtidos em ADS relativos a um amplificador de potência em classe A, a um

amplificador de potência implementado na tecnologia SiGe e a um amplificador de potência

distribuído implementado na tecnologia GaAs.

Finalmente apresenta-se um conjunto de resultados experimentais, relativos ao cálculo

de alguns parâmetros de caracterização deste tipo de dispositivos, para o caso de um

amplificador de potência comercial e para o amplificador de potência implementado na

tecnologia GaAs, para o qual já tínhamos apresentado resultados de simulação em ADS

anteriormente.

Page 68: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

48

5.1 Amplificadores de potência RF

O amplificador de potência constitui o último andar de uma cadeia de emissão RF. Como os

sinais apresentam aqui níveis mais elevados de corrente e tensão, é tipicamente neste andar

que se verificam os maiores níveis de distorção, devido às não-linearidades dos dispositivos e

aos modos de funcionamento adoptados no sentido de maximizar o rendimento. O nível de

distorção permitido num amplificador de potência depende da aplicação e do tipo de

modulação do sinal a amplificar.

Um amplificador de potência transforma uma potência de entrada DC em potência RF

de saída sob o controlo do sinal RF a emitir. Esta transformação deve ser feita tendo em conta

vários critérios, entre eles, a transformação da maior quantidade possível de potência, o

elevado ganho entre as potências RF de saída e entrada, e a conservação da informação

contida no sinal RF de entrada. Estes critérios permitem definir figuras de mérito como o

rendimento, a capacidade de potência e o ganho, úteis na avaliação de projectos de

amplificadores de potência.

Existem diferentes técnicas de projecto, que conduzem a diferentes valores de

rendimento e criam diferentes níveis de distorção, podendo-se assim desta forma definir

diferentes classes de amplificação.

As classes de amplificação dividem-se em dois grandes grupos, que diferem entre si

no modo de operação do dispositivo activo. Nas classes A, AB, B e C, o dispositivo activo

opera como fonte de corrente controlada. Nas classes D, E e F, o dispositivo activo opera

como interruptor comandado.

5.1.1 Rendimento

O rendimento é uma medida percentual da relação entre a potência RF de saída e a potência

DC fornecida pela fonte de alimentação. Quanto maior for o rendimento, menores serão as

perdas do amplificador.

Existem duas definições para o rendimento; a primeira chamada simplesmente de

rendimento η, que define a relação em percentagem entre a potência RF de saída, PRFOUT

(apenas a componente fundamental) e a potência DC fornecida pela fonte de alimentação

Page 69: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

49

PDCIN. Nesta, é também comum incluir-se a potência de polarização dos dispositivos

semicondutores.

DCIN

RFOUT

P

P=η

A segunda definição, a que se dá o nome de rendimento de potência adicionada PAE,

define a percentagem de potência DC da fonte de alimentação que é transformada em

potência RF de saída.

DCIN

RFINRFOUT

P

PPPAE

−=

A primeira definição de potência não considera a potência RF de entrada, e portanto,

um amplificador com baixo ganho de potência da entrada para a saída pode parecer com uma

medida do rendimento alta quando se utiliza esta definição. A PAE, dado que considera a

potência RF de entrada, é a mais usada para avaliar o desempenho dos amplificador de

potência.

5.1.2 Classes de amplificação

Um amplificador em classe A é um amplificador linear. Teoricamente, as formas de onda de

corrente e tensão no dispositivo activo e na carga são sinusoidais se o sinal de entrada for

sinusoidal. A frequência de saída é a mesma da frequência de entrada e a amplitude de saída é

uma função linear da amplitude de entrada.

As classes de amplificação AB, B e C, correspondem a uma técnica de melhoria do

rendimento em relação à classe A, através da alteração do ângulo de condução, 2α. Nestas

classes, a tensão mantém-se sinusoidal, mas a corrente passa a ser um arco da sinusóide. À

medida que se reduz o ângulo de condução, a queda de tensão média no dispositivo activo

baixa, sendo de esperar uma redução na potência dissipada e consequentemente um aumento

(41)

(42)

Page 70: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

50

do rendimento. É portanto a partir do ângulo de condução que as classes de amplificação A,

AB, B e C são definidas.

Na figura 26 estão representadas as formas de onda de tensão e corrente para as

classes de amplificação AB, B e C.

Figura 26: Formas de onda das classes de amplificação AB, B e C.

O circuito representado na figura 27 é um amplificador que pode funcionar em classe

A, AB, B ou C, conforme a polarização do dispositivo activo e o nível do sinal de entrada.

Este amplificador permite que o dispositivo activo atinja os limites de saturação e corte de

forma ideal, ou seja, se o dispositivo activo é ideal, a queda de tensão é nula na saturação e a

corrente é nula no corte. A bobina de bloqueio AC, LCHK, permite que a tensão instantânea no

dispositivo activo atinja o valor 2VDC, enquanto que o condensador de bloqueio DC, CD, isola

a componente contínua da carga RC. A rede RLC paralelo está sintonizada à frequência

fundamental do sinal que se pretende amplificar.

CD

LCHK

~

L1C RC

D

VDC

vi

IDC

IDiC

vD vO

Figura 27: Circuito do amplificador de potência RF.

Page 71: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

51

Na classe A, o dispositivo activo conduz durante todo o ciclo, não havendo qualquer

achatamento da forma de onda de corrente. Por comparação com as outras classes, o semi-

ângulo de condução vale π. O valor máximo teórico do rendimento é 50%. No entanto se

entrarmos em linha de conta com a não idealidade do drive e com as perdas nas interligações

e nos filtros, o valor do rendimento é bastante menor que 50%. São vulgares concretizações

práticas de amplificadores em classe A com rendimentos da ordem dos 30-35%.

Uma forma de melhorar o rendimento dum amplificador em classe A é fazer com que

o dispositivo dissipe menos. Tal acontece se a polarização for feita de modo a que durante

uma fracção da excursão do sinal a tensão ou a corrente sejam zero.

Na classe AB, a forma de onda de corrente já sofre um achatamento, pelo que o semi-

ângulo de condução está compreendido entre 2

π e π.

Na classe B, o semi-ângulo de condução vale exactamente 2

π, sendo a forma de onda

de corrente uma sinusóide semi-rectificada. Neste caso o dispositivo activo é polarizado de

forma a estar ao corte durante metade do ciclo. É evidente que neste caso o funcionamento é

não-linear e é necessário ter um filtro com um elevado factor de qualidade para se obter na

saída uma tensão sinusoidal.

Na classe C, o dispositivo activo conduz em menos de metade do tempo, ou seja, o

semi-ângulo de condução é inferior a 2

π.

Nas classes de amplificação D, E e F, o dispositivo activo funciona como interruptor,

ou seja, em condições ideais, no estado ligado apresenta uma queda de tensão nula e no estado

desligado não conduz corrente.

Os amplificadores de classe D e F, apresentam formas de onda de tensão e corrente

semelhantes no dispositivo activo, mais concretamente uma onda quadrada para a tensão e

uma sinusóide semi-rectificada para a corrente. No entanto, os circuitos de interface com a

carga são profundamente diferentes. Um amplificador em classe D utiliza um par de

dispositivos activos complementares numa montagem push-pull e o filtro LC garante uma

corrente de carga sinusoidal. Um exemplo de um amplificador em classe D está representado

na figura 28.

Page 72: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

52

CO

vCvD

D1

~D2

LO

RC

vi

VDC

ID1

ID2

iC

Figura 28: Amplificador de potência em classe D.

A classe F pode ser implementada com um circuito idêntico ao da figura 27, mas com

uma carga variável na frequência. A resposta da carga na frequência pode ser escolhida de

modo a termos formas de onda de corrente e tensão como as do amplificador em classe D, ou

então essas formas de onda duais. As classes D e F, embora sejam normalmente apresentadas

como classes comutadas, podem ser analisadas considerando o dispositivo activo como uma

fonte de corrente controlada.

A classe E é uma verdadeira classe comutada, pois a sua análise exige que o

dispositivo activo seja considerado um interruptor com estados ligado e desligado bem

definidos, embora os tempos de comutação não tenham de ser nulos.

Depois de analisadas as classes de amplificação existentes, podemos fazer a sua

comparação global. Na tabela 13 apresenta-se um resumo das classes apresentadas para uma

melhor compreensão dos factores que são levados em consideração na escolha de uma

topologia adequada.

Tabela 13: Comparação entre as várias classes de amplificadores de potência.

Classe Modo Ângulo de

condução (%)

Potência de

saída

Rendimento máximo

teórico (%) Ganho Linearidade

A 100 Moderada 50 Elevado Alta

B 50 Moderada 78.5 Moderado Moderada

AB ]50, 100[ Moderada <78.5 Moderado Moderada

C

Fonte de

corrente

<50 Baixa 100 Baixo Baixa

D 50 Alta 100 Baixo Baixa

E 50 Alta 100 Baixo Baixa

F

Interruptor

50 Alta 100 Baixo Baixa

Page 73: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

53

5.2 Resultados de simulação em ADS

Seguidamente são apresentados os principais resultados de simulação obtidos em ADS [18],

para os parâmetros ganho, P1dB, IP3 e distorção harmónica. A apresentação dos resultados é

feita comparando os valores dos diversos parâmetros obtidos por simulação em ADS (usando

os métodos tradicionais utilizados por estas ferramentas de simulação) e os respectivos

valores obtidos com a implementação do método da aproximação polinomial.

Foram obtidos resultados para o caso de um amplificador de potência em classe A,

para um amplificador de potência implementado na tecnologia SiGe e para um amplificador

de potência distribuído implementado na tecnologia GaAs.

5.2.1 Amplificador de potência em classe A

Os primeiros resultados apresentados referem-se a um amplificador de potência em classe A,

para uma frequência de operação de 850 MHz.

Na figura 29-a) está representado o gráfico da potência de saída em função da potência

de entrada do amplificador e na figura 29-b), de forma a visualizar-se de uma maneira mais

clara o efeito da compressão do ganho, é apresentada a função de transferência relativa ao

ganho do amplificador em função da potência de entrada.

a) b)

Figura 29: Características de transferência do PA em classe A, obtidas em ADS. a) Função de transferência Pin vs Pout; b) Função de transferência Pin vs Ganho.

Usando os métodos disponíveis nestas ferramentas de simulação, obtiveram-se

resultados para o ganho, P1dB, IP3 e distorção harmónica do amplificador de potência.

Page 74: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

54

Depois disto, de forma a implementar o método da aproximação polinomial, obteve-se um

conjunto de pontos de forma a definir a função de transferência Vin vs Vout do amplificador de

potência. Na figura 30-a) estão representados esses pontos, assim como o polinómio que

melhor os aproxima. Seguindo os passos mencionados nos capítulos anteriores relativamente

a este método, foram obtidos os valores dos parâmetros mencionados anteriormente, de forma

a efectuar uma análise comparativa e concluir sobre a validade da aplicação do método a este

tipo de amplificadores. Na figura 30-b), faz-se uma representação gráfica dos parâmetros da

tabela 14, para o caso dos valores obtidos pela aplicação do método de teste.

a) b)

Figura 30: Função de transferência do PA em classe A genérico, obtida em ADS. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do PA em classe A e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do PA em classe A.

Os resultados obtidos estão representados na tabela 14. Para o caso dos parâmetros

relacionados com a distorção harmónica, H2 e H3, os resultados são representados

graficamente na figura 31-a) e na figura 31-b), respectivamente, de forma a simplificar a

análise dos resultados. Nestas figuras, o segmento A (vermelho) representa os resultados

obtidos por simulação em ADS e o segmento B (azul) representa os resultados obtidos pela

aplicação da metodologia de teste.

Tabela 14: Valores de P1dB e IP3 do PA em classe A genérico, obtidos em ADS.

Parâmetros Resultados de simulação em ADS (dBm)

Resultados do método de teste (dBm)

P1dB in 15.84 15.47 P1dB out 27.23 26.96

IP3in 24.54 25.10 IP3out 36.70 37.56

Page 75: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

55

a) b)

Figura 31: Representação gráfica dos harmónicos do PA em classe A em função da potência de entrada. a) Relação entre o harmónico de 2ª ordem e a fundamental, H2; b) Relação entre o harmónico de 3ª ordem e a fundamental, H3.

Relativamente ao valor dos parâmetros P1dB e IP3, verifica-se que os resultados

obtidos são extremamente semelhantes, sendo a diferença entre os resultados de simulação em

ADS e os resultados obtidos aplicando o método de teste inferiores a 1 dB. Quanto aos

parâmetros H2 e H3 a situação já é diferente. No caso do parâmetro H2, as diferenças situam-se

à volta dos 10 dB, mas no entanto ambos os segmentos mantêm um andamento idêntico, o

que nos leva a assumir que possa existir um factor de escala responsável pela diferença entre

os valores. No caso do parâmetro H3, as diferenças são muito menores (cerca de 3 dB).

Apenas para valores de potência extremamente elevados, aproximadamente da ordem de

grandeza do ponto de compressão de 1 dB, é que essa diferença é bastante significativa.

Finalmente, na figura 32 representa-se o ganho em função da potência aplicada à

entrada do amplificador, para os dois casos.

Figura 32: Gráfico do ganho em função da potência de entrada do PA em classe A.

Page 76: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

56

A curva A (vermelho) representa os valores obtidos por simulação em ADS e a curva

B (azul) representa os valores obtidos pelo método de teste da aproximação polinomial

utilizando a equação (8). Verifica-se que a diferença entre os valores de simulação e os

valores obtidos pela metodologia de teste são extremamente pequenas não ultrapassando 1

décima de dB aproximadamente.

Nas secções seguintes, de forma a simplificar e clarificar a apresentação de resultados,

irá utilizar-se um esquema idêntico ao anterior. Primeiro apresentam-se os gráficos e a tabela

com os resultados obtidos e após cada gráfico ou tabela faz-se um pequeno comentário aos

resultados obtidos.

5.2.2 Amplificador de potência em tecnologia SiGe

Os resultados apresentados de seguida dizem respeito a um amplificador de potência

implementado na tecnologia SiGe, para uma frequência de operação de 39 GHz.

Na figura 33-a) representa-se o gráfico da potência de saída em função da potência de

entrada do amplificador e na figura 33-b) representa-se a função de transferência relativa ao

ganho do amplificador em função da potência de entrada.

a) b)

Figura 33: Características de transferência do PA em tecnologia SiGe. a) Função de transferência Pin vs Pout; b) Função de transferência Pin vs Ganho.

Na figura 34-a) representa-se o conjunto de pontos que definem a função de

transferência Vin vs Vout deste amplificador de potência, assim como o polinómio que melhor

aproxima esse conjunto de pontos.

Page 77: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

57

a) b)

Figura 34: Função de transferência do PA em tecnologia SiGe, obtida em ADS. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do PA em tecnologia SiGe e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do PA em tecnologia SiGe.

Na tabela 15 são apresentados os valores obtidos para os parâmetros P1dB e IP3. Mais

uma vez, verifica-se que os resultados obtidos pelo método de teste se aproximam bastante

dos resultados que seriam esperados. Neste caso as diferenças rondam os 0.5 dB, para o caso

de P1dB e sobem para cerca de 1 dB para o caso do valor de IP3.

Tabela 15: Valores de P1dB e IP3 do PA em tecnologia SiGe, obtidos em ADS.

Parâmetros Resultados de simulação em ADS (dBm)

Resultados do método de teste (dBm)

P1dB in -21.70 -22.21 P1dB out -5.24 -5.57

IP3in -13.65 -12.57 IP3out 3.63 4.91

Nas figuras 35-a) e 35-b) mostram-se os resultados obtidos para os parâmetros H2 e

H3, respectivamente. O segmento A (vermelho) representa os valores de simulação em ADS e

o segmento B (azul) representa os valores obtidos aplicando o método de teste. Esta

nomenclatura será usada daqui para diante na apresentação dos resultados.

Para o caso de H2, existe uma diferença significativa entre os valores de simulação e

os valores obtidos pelo método de teste. No entanto os segmentos apresentam o mesmo

andamento com a variação da potência de entrada. No caso de H3, os valores são bastante

parecidos (diferenças na ordem de 1 dB). Apenas para valores altos da potência de entrada é

Page 78: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

58

que se verifica um afastamento significativo entre os valores de simulação e os valores do

método de teste.

a) b)

Figura 35: Gráfico dos harmónicos do PA em tecnologia SiGe, em função da potência de entrada. a) Relação entre o harmónico de 2ª ordem e a fundamental, H2; b) Relação entre o harmónico de 3ª ordem e a fundamental, H3.

O gráfico do ganho em função da potência aplicada à entrada do amplificador é

apresentado na figura 36. Para valores baixos da potência de entrada, os valores são

praticamente coincidentes. Apenas com o aumento da potência de entrada é que se verificam

diferenças na ordem das décimas de dB, o que é praticamente insignificante.

Figura 36: Gráfico do ganho em função da potência de entrada do PA em tecnologia SiGe.

Page 79: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

59

5.2.2 Amplificador de potência distribuído em tecnologia GaAs

Nesta secção apresentam-se os principais resultados obtidos para o caso de um amplificador

de potência distribuído implementado na tecnologia GaAs e que se destina à banda de

frequências desde DC até 20 GHz [19].

A topologia simplificada do amplificador distribuído é apresentada na figura 37. O

amplificador é constituído por seis células em cascata e foi fabricado na tecnologia 150 nm

GaAs power pHEMT da United Monolithic Semiconductors (UMS). É utilizado como drive

para moduladores Mach-Zehnder (LiNbO3) a 40 Gb/s.

Foi realizado um estudo idêntico ao efectuado para os amplificadores anteriores, mas

neste caso obtiveram-se resultados para diversas frequências de operação (0.5, 5, 10, 15 e

20 GHz).

Figura 37: Topologia do PA distribuído em tecnologia GaAs (DC a 20 GHz) [19].

De forma a simplificar e não tornar muito repetitiva a apresentação dos resultados,

optou-se neste caso por apresentar os resultados gráficos apenas para a frequência de operação

mais elevada, ou seja, 20 GHz. Para o caso dos parâmetros P1dB e IP3, os resultados são

apresentados em forma de gráfico em função da frequência.

Assim, para uma frequência de 20 GHz, na figura 38-a) representa-se o gráfico da

potência de saída em função da potência de entrada do amplificador de potência distribuído e

na figura 38-b) representa-se a função de transferência relativa ao ganho do amplificador em

função da potência de entrada.

Page 80: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

60

a) b)

Figura 38: Características de transferência do PA distribuído em tecnologia GaAs (20 GHz), obtida em ADS. a) Função de transferência Pin vs Pout; b) Função de transferência Pin vs Ganho.

Na figura 39-a) está representado o conjunto de pontos que definem a função de

transferência Vin vs Vout deste amplificador de potência, assim como o polinómio que melhor

aproxima esse conjunto de pontos. Na figura 39-b), representam-se graficamente os pontos

P1dB e IP3 obtidos com o método da aproximação polinomial para uma frequência de

20 GHz.

a) b)

Figura 39: Função de transferência do PA distribuído em tecnologia GaAs (20 GHz), obtida em ADS. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do PA distribuído em tecnologia GaAS e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do PA distribuído em tecnologia GaAs.

O gráfico do ganho em função da potência aplicada à entrada do amplificador é

apresentado na figura 40.

Page 81: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

61

Figura 40: Ganho em função da potência de entrada do PA distribuído em tecnologia GaAs (20 GHz).

Mais uma vez os resultados são praticamente coincidentes, não ultrapassando as

décimas de dB. Para valores de frequência menores os resultados obtidos ainda são melhores.

Nas figuras 41-a) e 41-b) mostram-se os resultados obtidos para os parâmetros H2 e

H3, respectivamente. O segmento A (vermelho) representa os valores de simulação em ADS e

o segmento B (azul) representa os valores obtidos aplicando o método de teste.

a) b)

Figura 41: Gráfico dos harmónicos do PA distribuído em tecnologia GaAs, em função da potência de entrada. a) Relação entre o harmónico de 2ª ordem e a fundamental, H2; b) Relação entre o harmónico de 3ª ordem e a fundamental, H3.

Page 82: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

62

Pode-se verificar que existem diferenças significativas entre os valores de simulação e

os valores obtidos pelo método de teste. No entanto ambos os segmentos mantêm um

andamento praticamente idêntico, o que nos leva a assumir mais uma vez que possa existir um

factor de escala responsável pela diferença entre os valores.

Na figura 42, para as diferentes frequências consideradas, são representados os valores

de P1dB obtidos por simulação em ADS (segmento A a vermelho) e aplicando o método de

teste (segmento B a azul). Neste caso, para todas as frequências verifica-se que as diferenças

são mínimas, não ultrapassando em muitos casos 1 décima de dB.

Figura 42: Gráfico de P1dB em função da frequência, do PA em tecnologia GaAs.

Na figura 43, para as diferentes frequências consideradas, são representados os valores

de IP3 obtidos. Para o caso deste parâmetro, verificam-se diferenças maiores do que no caso

anterior. No entanto, as diferenças nunca ultrapassam 1 dB, sendo em muitos casos muito

inferiores a este valor. Estas diferenças não são significativas e permitem-nos concluir mais

uma vez que é possível aplicar o método da aproximação polinomial a este tipo de

amplificadores de potência.

Page 83: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

63

Figura 43: Gráfico de IP3 em função da frequência, do PA em tecnologia GaAs

5.3 Resultados experimentais

Após a apresentação dos resultados obtidos por simulação, faz-se nesta secção a apresentação

dos resultados experimentais obtidos para o amplificador de potência distribuído

implementado na tecnologia GaAs e para um amplificador de potência disponível

comercialmente.

5.3.1 Amplificador de potência comercial

Os primeiros resultados experimentais referem-se ao amplificador de potência da Mini-

Circuits ZHL-42W [20], cuja fotografia está representada na figura 44. Este amplificador

apresenta uma gama de frequências de operação compreendida entre 10 MHz e 4.2 GHz. No

entanto, os resultados de teste em laboratório foram obtidos para uma frequência de 1 GHz.

Alguns parâmetros importantes disponibilizados pelo fabricante na folha de características

são: ganhomin=30 dB, P1dBoutmin=28 dBm, IP3out=38 dBm e NF=8 dB.

Page 84: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

64

Figura 44: Amplificador de potência da Mini-Cicuits ZHL-42W [20].

Começámos por obter experimentalmente o valor de P1dB. Para tal utilizámos a

montagem laboratorial representada no esquema da figura 45.

-10 dB

Analisador EspectralGerador Sinal RF

Vcc=+15 V

DUT

AtenuadorPA ZHL-42W

RFINRFOUT

Figura 45: Montagem experimental utilizada para obter o valor de P1dB do PA ZHL-42W.

Foi utilizado um gerador de sinal RF para gerar os diferentes níveis de potência à

entrada do PA. O PA é alimentado com uma fonte de alimentação de 15 V. A medição da

potência de saída do PA é realizada através de um analisador espectral. Uma vez que o

analisador espectral apenas permite efectuar leituras até um determinado nível de potência,

para este caso foi necessário utilizar um atenuador de 10 dB, já que para os valores mais

elevados da potência de entrada e entrando em consideração com o ganho de PA,

correspondiam valores da potência de saída que ultrapassavam esse limite.

Para obter experimentalmente o valor de P1dB, o que se fez foi considerar como

referência o ganho do PA para um valor de potência baixo (zona linear do PA), e

Page 85: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

65

seguidamente ir aumentando o valor da potência e monitorizando constantemente o valor do

respectivo ganho até encontrar o valor da potência de entrada para o qual a diferença entre o

ganho e o ganho de referência fosse igual a 1 dB. Procedendo desta forma obteve-se o gráfico

da figura 46 e os respectivos valores de P1dBin=-3.00 dBm e P1dBout=30.33 dBm.

Figura 46: Representação gráfica de P1dB do PA ZHL-42W.

Para diferentes valores da potência de entrada do PA obtiveram-se os valores de H2 e

H3 apresentados na tabela 16.

Tabela 16: Valores dos harmónicos H2 e H3 do PA ZHL-42W, obtidos experimentalmente.

Pin (dBm) H2 (dBc) H3 (dBc)

-40.0 -41.83 -81.16 -35.0 -41.50 -79.33 -30.0 -40.33 -76.83 -25.0 -40.03 -71.00 -20.0 -37.50 -63.23 -15.0 -34.84 -53.50 -10.0 -31.50 -42.50 -5.0 -27.00 -31.66 -3.0 -24.50 -26.16

Aplicando o método da aproximação polinomial ao conjunto de pontos da função de

transferência Vin vs Vout do PA representados na figura 47-a), obtiveram-se os resultados da

tabela 17, onde também se mostram os valores obtidos experimentalmente anteriormente para

Page 86: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

66

P1dB. Na figura 47-b) representam-se graficamente os pontos P1dB e IP3 obtidos pelo

método de teste.

a) b)

Figura 47: Função de transferência do PA ZHL-42W (1 GHz), obtida experimentalmente. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do PA ZHL-42W e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do PA ZHL-42W.

Tabela 17: Valores de P1dB e IP3 do PA ZHL-42W.

Parâmetros Resultados experimentais (dBm)

Resultados do método de teste (dBm)

P1dB in -3.00 -3.79 P1dB out 30.33 29.19

IP3in ---------- 5.85 IP3out ---------- 39.83

Observando a tabela anterior, verifica-se que para os valores de P1dB as diferenças

são da ordem de 1 dB, o que não é muito significativo. Como não obtivemos resultados

experimentais para IP3, não podemos comparar os resultados obtidos pelo método de teste

para este parâmetro. No entanto comparando o resultado obtido para IP3out com o valor dado

na folha de características IP3out=38 dBm, verifica-se que a diferença é aproximadamente de

2 dB. Por outro lado, verifica-se que o valor deste ponto está aproximadamente 10 dB acima

do valor de P1dBout, o que satisfaz a regra geral para a diferença de valores entre P1dB e IP3

em amplificadores RF. Logo, conclui-se mais uma vez que o método de teste da aproximação

polinomial pode ser aplicado a este PA, no que diz respeito ao cálculo destes parâmetros.

Page 87: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

67

O gráfico do ganho em função da potência aplicada à entrada do amplificador é

apresentado na figura 48.

Figura 48: Ganho em função da potência de entrada do PA ZHL-42W (1 GHz).

Verifica-se que a diferença entre os valores experimentais (segmento A representado a

vermelho) e os valores obtidos pela metodologia de teste (segmento B representado a azul)

não ultrapassam os 0.4 dB aproximadamente, o que é razoavelmente bom.

Nas figuras 49-a) e 49-b) mostram-se os resultados obtidos para os parâmetros H2 e

H3, respectivamente. O segmento A (vermelho) representa os valores obtidos

experimentalmente com o analisador espectral e o segmento B (azul) representa os valores

obtidos aplicando o método de teste.

a) b)

Figura 49: Gráfico dos harmónicos do PA ZHL-42W em função da potência de entrada. a) Relação entre o harmónico de 2ª ordem e a fundamental, H2; b) Relação entre o harmónico de 3ª ordem e a fundamental, H3.

Page 88: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

68

Para o parâmetro H2, verifica-se que as diferenças entre os valores obtidos

experimentalmente e os valores obtidos pelo método de teste são extremamente grandes.

Apenas para valores de potência de entrada que fazem com que o PA funcione na zona de

compressão do ganho é que as diferenças são mais pequenas (cerca de 1 a 2 dB).

Para o parâmetro H3, os resultados obtidos são consideravelmente melhores. Neste

caso apenas para os valores mais baixos da potência de entrada é que se verificam diferenças

significativas. Para valores de potência de entrada mais elevados, as diferenças são muito

pequenas, verificando-se para os piores casos diferenças da ordem de 1.5 dB e para os

melhores casos diferenças da ordem das décimas de dB.

5.3.2 Amplificador de potência distribuído em tecnologia GaAs

Os resultados experimentais apresentados de seguida referem-se ao amplificador de potência

distribuído implementado na tecnologia GaAs, cuja fotografia está representada na figura 50.

Figura 50: Fotografia do PA distribuído em tecnologia GaAs [19].

Começámos por obter experimentalmente o valor de P1dB para as diferentes

frequências de trabalho (0.5, 5, 10, 15 e 20 GHz). Para tal utilizámos a montagem

experimental representada no esquema da figura 51.

Page 89: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

69

Analisador EspectralGerador Sinal RF

VDS=+8.5 V

DUT

DA - DC a 20 GHz

RFIN RFOUT

Bias Tee

VGS2=+3.8 V

Figura 51: Montagem experimental para obter o valor de P1dB do PA distribuído em tecnologia GaAs.

Na figura 52 mostra-se uma fotografia da montagem laboratorial utilizada para obter

os resultados experimentais. Na figura 53-a) mostra-se em detalhe a operação de “probing”

que foi necessário realizar e na figura 53-b) apresenta-se uma fotografia do analisador

espectral e do gerador de sinal RF utilizados.

Figura 52: Fotografia da montagem laboratorial utilizada para testar o PA distribuído em tecnologia GaAs.

Page 90: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

70

a) b)

Figura 53: Fotografias do equipamento laboratorial utilizado. a) Detalhe da operação de “probing” do PA; b) Fotografia do analisador espectral e gerador de sinal RF.

O PA necessita de duas tensões de alimentação, VDS=+8.5 V e VGS2=+3.8 V. De forma

a proceder de uma forma mais fácil à alimentação do PA com a tensão VDS, foi utilizado um

“bias tee” [21] que introduz algumas perdas no circuito. Na figura 54 mostra-se uma

fotografia do “bias tee” utilizado, que neste caso pode ser usado dentro da gama de

frequências entre 20 KHz e 45 GHz, o que abrange as frequências a que vamos testar o PA

(0.5, 5, 10, 15 e 20 GHz).

Figura 54: Fotografia do "bias tee" (20 KHz a 45 GHz).

Na figura 55 representa-se o gráfico relativo às perdas de inserção do “bias tee” em

função da frequência. Para as frequências de interesse verifica-se que as perdas de inserção

rondam os 0.5 dB.

Page 91: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

71

Figura 55: Perdas de inserção do "bias tee" em função da frequência.

Antes de se obterem resultados experimentais relativos a P1dB é necessário

caracterizar toda a montagem experimental em termos de perdas, uma vez que estamos a

trabalhar a frequências muito elevadas (DC a 20 GHz). Na tabela 18 são apresentados os

valores da atenuação provocada pelos conectores e cabos de entrada assim como pelo “bias

tee”, conectores e cabos de saída, para diferentes valores da frequência. Verifica-se, como

seria de esperar, um aumento considerável da atenuação à medida que se aumenta a

frequência de trabalho.

Tabela 18: Valores da atenuação em função da frequência, referente ao teste de 1-ton.

Frequência (GHz) Atenuação RFin (dB) Atenuação RFout+ ”bias tee” (dB)

0.5 0.665 1.165 5.0 1.585 2.085 10.0 1.835 2.335 15.0 2.750 3.250 20.0 3.250 3.750

Na tabela 19 apresentam-se os resultados relativos a P1dBin e P1dBout para as

diferentes frequências de operação utilizadas.

Tabela 19: Valores experimentais de P1dBin e P1dBout em função da frequência, do PA (GaAs).

Frequência (GHz) P1dBin (dBm) P1dBout (dBm)

0.5 13.335 22.995 5.0 12.415 20.915 10.0 12.165 20.835 15.0 11.250 20.420 20.0 8.750 18.920

Page 92: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

72

A tabela 20 apresenta valores relativos aos valores dos harmónicos H2 e H3 em função

da amplitude de sinal à entrada do PA, para uma frequência de 5 GHz.

Tabela 20: Valores experimentais de H2 e H3 do PA (GaAs), para uma frequência de 5 GHz.

Pin (dBm) H2 (dBc) H3 (dBc)

-11.595 -56.33 -76.83 -1.585 -46.00 -56.83 3.415 -41.66 -46.16 5.915 -41.16 -40.50 8.415 -42.00 -31.50 10.915 -27.00 -25.50

Para obter experimentalmente o valor de IP3 do PA implementado na tecnologia GaAs

para as frequências de 10, 15 e 20 GHz, utilizou-se a montagem experimental representada no

esquema da figura 56.

Analisador Espectral

Gerador Sinal RF 1

VDS=+8.5 V

DUT

DA - DC a 20 GHz

RFIN1

RFOUT

Bias Tee

VGS2=+3.8 V

Gerador Sinal RF 2

RFIN2

Comb.

-3 dB

Figura 56: Montagem experimental para obter o valor de IP3 do PA distribuído em tecnologia GaAs.

Neste caso, para efectuar o teste de dois tons, utilizam-se dois geradores de sinal RF e

um combinador de sinal RF para juntar os dois sinais à entrada do PA. No restante a

montagem experimental é idêntica à utilizada anteriormente para a obtenção de resultados

relativos a P1dB. O combinador de sinal [22] utilizado está representado na figura 57, e tem

como principais características a introdução de uma atenuação no sinal de 3 dB e uma gama

de frequências de operação entre 6 e 26.5 GHz.

Page 93: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

73

Figura 57: Fotografia do combinador de sinal RF (6 a 26.5 GHz).

Mais uma vez, é necessário caracterizar toda a montagem experimental em termos de

perdas. Na tabela 21 são apresentados os valores da atenuação provocada pelos conectores,

cabos de entrada e combinador de sinal, assim como pelo “bias tee”, conectores e cabos de

saída, para os diferentes valores da frequência. Verifica-se mais uma vez, um aumento

considerável da atenuação à medida que se aumenta a frequência de trabalho.

Tabela 21: Valores da atenuação em função da frequência, referente ao teste de 2-tons.

Frequência (GHz) Atenuação RFin+ combinador de sinal (dB)

Atenuação RFout+ ”bias tee” (dB)

10.0 6.335 2.835 15.0 8.250 3.420 20.0 8.750 4.250

Para obter experimentalmente o valor de IP3, pode-se usar a equação (18) apresentada

no capítulo 2. Para um determinado nível de potência do sinal de entrada Pi, faz-se a leitura

no analisador espectral do correspondente valor da potência de saída referente à componente

de frequência fundamental Pω1 e à componente de frequência de intermodulação P2ω1-ω2.

Convém referir que se utilizou um espaçamento de frequência de 1 MHz entre as frequências

dos dois tons utilizados. Na tabela 22 apresentam-se os resultados relativos a IP3in e IP3out

para as diferentes frequências de operação utilizadas.

Page 94: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

74

Tabela 22: Valores experimentais de IP3in e IP3out em função da frequência, do PA (GaAs).

Frequência (GHz) IP3in (dBm) IP3out (dBm)

10.0 22.130 32.585 15.0 21.250 31.090 20.0 19.500 30.330

De seguida são apresentados os resultados experimentais obtidos, aplicando o método

da aproximação polinomial ao PA implementado na tecnologia GaAs.

Na tabela 23 são apresentados os resultados obtidos com o método da aproximação

polinomial relativamente a P1dB e IP3, para as diversas frequências consideradas.

Tabela 23: Valores de P1dB e IP3 do PA (GaAs), em função da frequência.

Frequência (GHz)

P1dBin (dBm) P1dBout (dBm) IP3in (dBm) IP3out (dBm)

0.5 13.927 22.677 23.563 33.729 5.0 12.901 21.357 22.537 32.339 10.0 11.853 20.980 21.488 31.287 15.0 11.595 20.540 21.231 31.428 20.0 8.917 18.950 18.552 29.975

a) b)

Figura 58: Função de transferência do PA distribuído em tecnologia GaAs , obtida experimentalmente. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do PA distribuído em tecnologia GaAs (20 GHz) e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do PA distribuído em tecnologia GaAs (20 GHz).

Page 95: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

75

Na figura 58-a) está representado o conjunto de pontos que definem a função de

transferência Vin vs Vout deste PA, para uma frequência de 20 GHz, assim como o polinómio

que melhor aproxima esse conjunto de pontos. Na figura 58-b), representam-se graficamente

os pontos P1dB e IP3 obtidos com o método da aproximação polinomial para uma frequência

de 20 GHz.

O gráfico do ganho em função da potência aplicada à entrada do PA, para uma

frequência de 20 GHz, é apresentado na figura 59. Verifica-se que a diferença entre os valores

do ganho obtidos experimentalmente e os valores obtidos pelo método de teste se situa

aproximadamente nos 0.3 dB, o que nos permite concluir que o método de teste da

aproximação polinomial nos conduz a bons resultados para o ganho do PA.

Figura 59: Ganho em função da potência de entrada do PA distribuído em tecnologia GaAs para 20 GHz.

Nas figuras 60-a) e 60-b) mostram-se os resultados obtidos para os parâmetros H2 e

H3, respectivamente, para uma frequência de 5 GHz. O segmento A (vermelho) representa os

valores obtidos experimentalmente e o segmento B (azul) representa os valores obtidos

aplicando o método de teste da aproximação polinomial.

Page 96: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

76

a) b)

Figura 60: Harmónicos do PA distribuído em tecnologia GaAs, em função da potência de entrada (5 GHz). a) Relação entre o harmónico de 2ª ordem e a fundamental, H2; b) Relação entre o harmónico de 3ª ordem e a fundamental, H3.

Para o caso do parâmetro H2, verifica-se que as diferenças entre os valores obtidos

experimentalmente e os valores obtidos pelo método de teste da aproximação polinomial são

enormes. No entanto, para o parâmetro H3 as diferenças são relativamente pequenas para os

valores mais baixos de potência de entrada (cerca de 1 a 2 dB). Aumentando o valor da

potência de entrada para valores próximos da zona de compressão do ganho do amplificador

verifica-se que as diferenças aumentam consideravelmente.

De seguida são apresentados dois gráficos, que nos permitem comparar melhor os

resultados apresentados anteriormente nas tabelas 19, 22 e 23, relativos a P1dB e IP3.

Na figura 61, para as diferentes frequências consideradas, são representados os valores

de P1dB obtidos experimentalmente (segmento A representado a vermelho) e aplicando o

método de teste da aproximação polinomial (segmento B representado a azul). Podemos

concluir que utilizando o método de teste da aproximação polinomial obtemos bons

resultados, uma vez que as diferenças entre os valores obtidos com este método e os valores

obtidos experimentalmente são pequenas (cerca de 0.5 dB).

Page 97: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

77

Figura 61: Gráfico dos valores experimentais de P1dB em função da frequência, do PA em tecnologia GaAs.

Na figura 62, para as diferentes frequências consideradas, são representados os valores

de IP3 obtidos. Neste caso, apesar das diferenças serem maiores para as frequências de 10 e

20 GHz, ainda podemos considerar que os resultados são satisfatórios, uma vez que para os

piores casos essas diferenças se situam entre 1 e 1.5 dB.

Figura 62: Gráfico dos valores experimentais de IP3 em função da frequência, do PA em tecnologia GaAs.

Page 98: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Aplicação do método de teste da aproximação polinomial ao teste de amplificadores de potência RF

78

5.4 Conclusão

Neste capítulo começámos por apresentar alguma teoria sobre amplificadores de potência RF,

assim como uma breve análise às diversas classes de amplificação existentes.

De seguida apresentou-se um conjunto de resultados de simulação obtidos em ADS,

relativos a um amplificador de potência em classe A, a um amplificador de potência

implementado na tecnologia SiGe e a um amplificador de potência distribuído implementado

na tecnologia GaAs. Foram apresentados resultados para os valores do ganho, P1dB, IP3, H2

e H3 destes amplificadores de potência.

Finalmente apresentou-se um conjunto de resultados experimentais, relativos ao

cálculo dos mesmos parâmetros mencionados anteriormente, para o caso de um amplificador

de potência comercial e para o amplificador de potência implementado na tecnologia GaAs,

para o qual já tínhamos apresentado resultados de simulação em ADS.

Após a análise dos resultados de simulação e experimentais obtidos, concluímos que o

método de teste da aproximação polinomial pode ser aplicado a amplificadores RF de

potência em classe A. Para as outras classes de amplificação existentes, o estudo realizado por

simulação em ADS (que não é apresentado nesta dissertação) revelou que esta metodologia

não era aplicável, necessitando para estes casos de ser revista e adaptada para suportar as

características específicas deste tipo de topologias.

Page 99: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 6

Implementação em-circuito das novas metodologias de teste

Depois de avaliados por simulação e experimentalmente os métodos de teste propostos, neste

capítulo começamos por apresentar os esquemas das infra-estruturas de teste propostas para a

implementação em circuito das três novas metodologias de teste. Nessa secção é efectuada

uma análise mais rigorosa dos requisitos que a infra-estrutura de teste dedicada ao método de

teste da aproximação polinomial deve possuir, uma vez que será essa metodologia que será

alvo de um estudo aprofundado. Relativamente aos outros dois métodos, são aqui dadas pistas

para a sua implementação em-circuito mas a sua realização é proposta para trabalho futuro.

No entanto, enquanto para o método da correlação cruzada não se vislumbram dificuldades na

viabilidade da sua implementação, já para o caso da medida do factor de ruído pelo método da

auto-correlação detecta-se já a dificuldade de se realizarem atrasos de mais do que um ciclo

do sinal observado usando os dispositivos habitualmente disponíveis em tecnologias de

integração monolítica.

Seguem-se a apresentação dos detalhes do projecto de cada bloco para a

implementação do método de teste da aproximação polinomial, começando pela apresentação

do projecto do LNA e respectiva caracterização por simulação dos principais parâmetros de

desempenho. Seguidamente é apresentado o oscilador com amplitude variável, responsável

pela geração dos estímulos de teste, o interruptor RF que permite a comutação entre o modo

de funcionamento normal e o modo de teste e o detector de pico utilizado para efectuar a

leitura da potência de saída do LNA em termos de um valor de tensão DC.

Page 100: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

80

Finalmente são apresentados os resultados de simulação obtidos utilizando o sistema

completo e é realizada uma comparação entre esses resultados e os resultados previamente

obtidos por simulação para o LNA projectado.

6.1 Infra-estruturas de teste dedicadas à implementação

em-circuito das metodologias de teste

Nesta secção são apresentadas e é realizada uma breve descrição das infra-estruturas de teste

propostas para a implementação em-circuito das três novas metodologias de teste [11].

Na figura 63 está representada a infra-estrutura de teste necessária para implementar o

método da aproximação polinomial.

LO

LNADown-Mixer

Up-MixerPA

Amp IF

AmpLog

VDC

InterruptorRF LO

T/R

...

...

Figura 63: Infra-estrutura de teste para a implementação do método da aproximação polinomial.

Utiliza-se um oscilador com amplitude variável para gerar os estímulos de teste a

serem aplicados à entrada do LNA. É importante que a gama de amplitudes do sinal

sinusoidal gerado pelo oscilador abranja a gama de funcionamento do LNA, incluindo a zona

de compressão do ganho, de forma a que se consiga obter a característica de transferência do

LNA apropriada.

O amplificador RF logarítmico, permite efectuar a medida de uma grandeza que nos

dá a potência à saída do LNA em termos de valores de tensão DC. Sabendo a relação entre a

Page 101: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

81

tensão DC na saída do amplificador logarítmico e a potência de entrada do amplificador

logarítmico é possível obter a função de transferência do LNA. No caso apresentado mais à

frente, para realizar estas operações iremos utilizar um detector de pico.

O interruptor RF é utilizado para efectuar a comutação entre o modo de operação

normal e o modo de teste do LNA.

Na figura 64 está representada a infra-estrutura de teste necessária para implementar o

método da correlação cruzada.

LO

LNADown-Mixer

Up-MixerPA

InterruptorRF

T/R

...

...

InterruptorRF

LO

InterruptorRF

LOI

Q

LPF

Amp IF

Figura 64: Infra-estrutura de teste para a implementação do método da correlação cruzada.

Neste caso o misturador (tirando partido da operação de multiplicação) do receptor

juntamente com um integrador são utilizados para implementar as operações de correlação

entre o sinal de saída do LNA e os sinais de teste (seno e coseno). Estes sinais de teste são

obtidos a partir de um oscilador I/Q que gera dois sinais em quadratura. Utilizam-se mais dois

interruptores RF para efectuar a comutação entre o oscilador local do misturador e o oscilador

I/Q e para comutar entre os sinais em fase e em quadratura do oscilador I/Q.

Na figura 65 está representada a infra-estrutura de teste necessária para implementar o

método da auto-correlação. Para a implementação deste método utiliza-se mais uma vez o

misturador do receptor e um integrador para efectuar as operações de correlação. Neste caso é

efectuada a correlação entre duas imagens do sinal RF de entrada ou saída do LNA, obtidas

em diferentes instantes de tempo. Com os interruptores RF na posição A, as entradas do

misturador são o sinal de entrada do LNA e a sua versão atrasada de um tempo ∆t e portanto

Page 102: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

82

dessa forma é possível obter uma estimativa da SNRin. Com os interruptores RF na posição B,

obtém-se uma estimativa da SNRout.

LO

LNA

Down-Mixer

Up-MixerPA

InterruptorRF

T/R

...

...

InterruptorRF

LPF

InterruptorRF

Amp IF

LO

InterruptorRF

t

A

BB

A

Atraso

Figura 65: Infra-estrutura de teste para a implementação do método da auto-correlação.

Relembramos que no presente trabalho apenas irá ser implementada a infra-estrutura

referente à metodologia de teste baseada na aproximação polinomial. Quanto às outras infra-

estruturas de teste propostas para as restantes metodologias de teste, necessitam de ser

cuidadosamente avaliadas de forma a se poder avançar com a sua implementação.

6.2 Implementação em-circuito do método de teste da

aproximação polinomial

6.2.1 Projecto do LNA

A abundância de ruído e as baixas amplitudes de sinal na entrada de recepção de qualquer

sistema de comunicações requer a implementação na interface de entrada do sinal, de

amplificadores de baixo-ruído. O factor de ruído total de um sistema de recepção é dominado

pelo factor de ruído do LNA devido a este ser o primeiro bloco do receptor. Portanto o grande

desafio no projecto do LNA é a obtenção do mínimo factor de ruído possível. O LNA deve

apresentar também um ganho considerável para que o ruído introduzido pelos blocos

Page 103: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

83

subsequentes na cadeia de recepção seja desprezável. Como os sinais recebidos são de baixa

amplitude, o aproveitamento da potência de sinal é também um dos factores a ter em conta. O

LNA deve apresentar uma impedância de entrada adaptada à linha de transmissão e antena.

Outros factores de aparente relevância secundária mas que caracterizam ainda o

funcionamento do LNA são a linearidade e a potência consumida. A linearidade é geralmente

medida em termos do IP3 com referência à entrada. A potência consumida pode ser de

extrema importância em dispositivos portáteis.

Com as especificações dadas, o projectista deverá começar por seleccionar uma

topologia de circuito adequada. Em alguns casos de projecto existem topologias que

apresentam vantagens sobre outras quanto à satisfação total das especificações. O próximo

passo é definir as variáveis iniciais de projecto, por exemplo: as dimensões dos transístores,

pontos de polarização, valores das resistências, indutâncias das bobinas e capacidades dos

condensadores, e ajustá-los para que o desempenho do circuito esteja dentro das

características pré-definidas.

Esta secção apresenta a implementação de um LNA para Bluetooth em topologia de

fonte comum com degeneração indutiva da fonte MOS. O LNA projectado foi simulado em

SpectreRF (Cadence Design Systems) [23], com a tecnologia 0.18 UMC.

6.2.1.1 Topologia de fonte comum com degeneração indutiva

A configuração de fonte comum com degeneração indutiva de fonte MOS, está representada

na figura 66. Ao contrário do que pode ser intuitivo, a adaptação à entrada pode ser feita sem

resistências. Esta configuração é a que apresenta menores factores de ruído com uma

adaptação de entrada realizada através de uma indutância na fonte do transístor,

denominando-se por isso degeneração indutiva.

A impedância de entrada é facilmente determinada, como se demonstra em [24], não

considerando a capacidade entre gate e dreno:

( )

−++

⋅=

gsgs

gs

msin C

LLjC

gLjZ

ωωω 1

)(

(43)

Page 104: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

84

vin

vout

Lg

Ls

Vcc

Zin

Rin

Figura 66: Topologia de fonte comum com degeneração indutiva.

Na maior parte dos casos, o que se pretende obter é Ω=⋅

= 50gs

msin C

gLR . O valor de

Lg é escolhido de forma a que à frequência de ressonância o valor da reactância respectiva

cancele a devida a Cgs, ou seja, ( ) 01 =

−+

gsgs C

LLjω

ω .

Normalmente, na maior parte dos projectos de LNA escolhe-se o valor de Ls e os

valores de gm e Cgs são calculados de forma a obter o valor pretendido para Rin. O valor da

indutância Ls pode ser arbitrado, no entanto é limitado pelo valor máximo do tamanho das

indutâncias realizáveis numa dada tecnologia. Nas tecnologias convencionais são comuns

valores de L entre 1 nH e 10 nH com factores de qualidade Q=5 [25]. Nas novas tecnologias,

com um número de níveis de metal superior obtêm-se valores de Q na ordem de 16 para

frequências acima de 2 GHz [26]. A implementação desta indutância pode ser também obtida,

tirando simplesmente partido do condutor de bonding usado na ligação da fonte do transístor

ao pino de massa.

Com o valor considerado para Ls pode-se calcular a frequência de transição pela

seguinte equação:

Page 105: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

85

s

in

gs

mT L

R

C

g==ω

O valor da indutância Lg é calculado pela equação (45), em que QL representa o factor de

qualidade das bobinas.

sinL

g LRQ

L −⋅

=0ω

Com os valores de Lg e Ls anteriores pode-se calcular o valor de Cgs pela equação (46) e

consequentemente os valores da largura dos transístores, W.

( )sggs

LLC

+=

20

1

ω

min2

3

LC

CW

ox

gs=

Considerando a transcondutância, gsTm Cg ⋅= ω e considerando a tensão efectiva do

transístor dada por (48), podemos obter uma estimativa da corrente de polarização do

transístor pela equação (49).

( )WC

LgVVV

oxn

mTgseff ⋅⋅

⋅=−=

µmin

effmD VgI ⋅⋅=2

1

(44)

(45)

(46)

(47)

(48)

(49)

Page 106: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

86

6.2.1.2 Projecto de um LNA para Bluetooth

Tendo em conta alguns resultados da implementação de LNA em várias tecnologias e

arquitecturas para sistemas Bluetooth [27, 28, 29], na tabela 24 são definidos os limites das

especificações para o presente projecto do LNA.

Tabela 24: Especificações para o projecto do LNA para sistemas Bluetooth.

Especificação Valor

Fonte de alimentação 1.8 V Consumo de potência < 15 mW

Factor de ruído < 2.5 dB IP3in > -10 dBm

Ganho de potência (S21) > 15 dB Isolamento (S12) < -30 dB

Adaptação na entrada (S11) < -30 dB Adaptação na saída (S22) < -30 dB Impedância de entrada 50 Ω Frequência de operação 2.4 GHz

O circuito da figura 67 representa um LNA dimensionado para uma frequência central

de funcionamento de 2.4 GHz, apropriado para um receptor de um sistema Bluetooth.

vin

vout

Lg

Ls

Vdd = 1.8 V

C1

C2Mb

Rb

M1

M2

LcCc

Cex

Ib700 uA

l = 180 nmw = 30 um

10 KΩ

3.4 nH

990 fF

1 nH

l = 180 nmw = 300 um

l = 180 nmw = 300 um

10 pF

10 pF

116 fF10 nH

10.2 Ω

3 Ω

30.1 Ω

Figura 67: LNA para sistema de recepção Bluetooth.

Page 107: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

87

A configuração do circuito é baseada em degeneração indutiva [24, 27, 30, 31] sendo

implementado um amplificador cascódico que permite o isolamento da entrada da saída,

diminuindo o valor dos parâmetros S22 e S12, pela diminuição do feedback provocado pela

influência da capacidade Cgd do transístor de entrada. A polarização é efectuada a partir de Mb

que possui 1/10 da largura de gate dos transístores do amplificador cascódico, espelhando

dessa forma no amplificador cascódico uma corrente 10 vezes superior.

A indutância na fonte, Ls, permite ajustar a resistência de entrada do LNA para 50 Ω,

enquanto a indutância Lg se destina essencialmente a sintonizar o amplificador à frequência

desejada de funcionamento, anulando a parte imaginária da impedância de entrada. A

resistência Rb tem um valor suficientemente elevado para não interferir com a impedância de

50 Ω vista da entrada, permitindo ainda a polarização do transístor. As capacidades C1 e C2

são destinadas ao desacoplamento DC, e a indutância Lc permite desacoplar o sinal RF da

alimentação e pode ser utilizada posteriormente em sintonia com a capacidade do dreno do

transístor e com Cc e C2 para adaptar uma carga de saída. Neste caso a impedância de saída

não é preocupante. A adaptação de saída é essencialmente relevante para o ganho e para a

obtenção de uma largura de banda específica.

Os valores de Ls, Lg, W e ID foram calculados utilizando as equações apresentadas na

secção anterior. Uma vez que o valor de Cgs é extremamente elevado, isso conduz a valores

irrealizáveis para a largura dos transístores. Dessa forma introduziu-se entre a gate e a fonte

do transístor uma capacidade Cex, de forma a que o equivalente paralelo desta capacidade com

o valor de Cgs resultante de um transístor com uma largura W=300 µm conduza a esse valor.

De referir também que nas bobinas utilizadas considerou-se um factor de qualidade Q=5 e o

modelo para efeitos de simulação apenas considerou o efeito da resistência série de forma a

produzir esses valores de Q.

Partindo dos valores anteriormente calculados, de forma a garantir o cumprimento das

especificações do projecto do LNA apresentadas na tabela 24, realizaram-se várias simulações

de forma a ajustar esses valores. Os valores finais utilizados são representados no esquema do

LNA da figura anterior.

Na tabela 25 é apresentado um resumo das principais características de desempenho

do LNA projectado para a frequência de operação de 2.4 GHz.

Page 108: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

88

Tabela 25: Resumo das características gerais de desempenho do LNA.

Parâmetros Valor

S11 -61.42 dB S12 -39.19 dB S21 14.06 dB S22 -44.69 dB

Re[Zin] 50.04 Ω Im[Zin] -0.10 Ω Re[Zout] 641.00 Ω Im[Zout] 1.90 Ω

Factor de ruído 2.44 dB IP3in -6.54 dBm IP3out 7.58 dBm P1dBin -17.64 dBm P1dBout -4.58 dBm

Nas figuras seguintes são representados os parâmetros S da simulação, a impedância

de entrada e de saída, o factor de ruído, o ponto de compressão do ganho de 1 dB e o ponto de

intersecção de 3ª ordem do LNA em função da frequência.

Figura 68: Parâmetros S do LNA para Bluetooth (2.4 GHz), obtidos por simulação em Cadence-SpectreRF.

Page 109: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

89

Figura 69: Partes real e imaginária da impedância de entrada do LNA (simulação em Cadence-SpectreRF).

Figura 70: Partes real e imaginária da impedância de saída do LNA (simulação em Cadence-SpectreRF).

Figura 71: Factor de ruído do LNA (simulação em Cadence-SpectreRF).

Page 110: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

90

Figura 72: Ponto de compressão do ganho de 1 dB do LNA (simulação em Cadence-SpectreRF).

Figura 73: Ponto de intersecção de 3ª ordem do LNA (simulação em Cadence-SpectreRF).

O valor negativo elevado de S12, sugere um bom isolamento entre a entrada e a saída e

em conjunto com o valor de S11, igualmente de grande amplitude negativa, permite afirmar

que a entrada está bem adaptada aos 50 Ω. A adaptação da entrada pode ser confirmada pelos

valores da parte real e imaginária da impedância de entrada. O valor de S22 foi obtido para

uma carga de valor igual ao valor da parte real da impedância de saída. O valor do parâmetro

Page 111: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

91

S21 igual a 14.06 dB está 1 dB abaixo da especificação imposta para o projecto do LNA, o que

não é muito relevante.

Finalmente os valores do factor de ruído e de IP3in cumprem com os valores impostos

pela especificação. Convém referir que para a obtenção dos valores de IP3 por simulação no

Cadence-SpectreRF, se considerou um sinal de dois tons à entrada do LNA com as

frequências de 2.4 e 2.44 GHz, portanto com um espaçamento em frequência de 40 MHz.

6.2.2 Projecto do oscilador RF com amplitude variável

As especificações dos sistemas de comunicação actuais estabelecem canais cada vez mais

estreitos, logo para cumprir com estas exigências a saída dos osciladores deve ser um sinal

sinusoidal tão puro e estável quanto possível. O oscilador ideal deve gerar um sinal sem ruído

de fase, ser sintonizado numa gama fixa de frequências, ser insensível à carga na saída, às

variações de temperatura, às variações no processo de fabrico e às variações na tensão de

alimentação. Contudo, os osciladores implementados na tecnologia CMOS estão longe de

garantir estas condições ideais.

6.2.2.1 Circuitos osciladores

Existem várias classes de osciladores [32]: osciladores a cristal, em anel, de relaxação, LC,

entre outros.

O oscilador a cristal é o que possui a frequência de oscilação mais estável e a sua

implementação só precisa de um transístor e de um par de condensadores, como o

representado na figura 74-a). Existem cristais com frequências de ressonância até várias

centenas de MHz. Devido a isto, esta classe de osciladores é ideal para gerar relógios de

circuitos digitais ou sinais de referência de sintetizadores de frequência. Outra opção para a

componente ressonante é o SAWR (Surface Acoustic Wave Resonator). Os osciladores

baseados em SAWR não oferecem a estabilidade a mudanças de temperatura que um

oscilador a cristal possui, mas apresentam ruído de fase menor, menor sensibilidade a

vibrações e frequências de ressonância da ordem dos GHz. Tanto os osciladores baseados em

Page 112: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

92

cristal como os osciladores baseados em SAWR não são totalmente integráveis, logo não

serão levados em consideração neste trabalho.

M1

C1

C2

X1

Vdd

Ib

I1 I2C

M1M2

M5 M6

M3M4

Vdd

VPcon

VNcon

a) b)

INV1 INV3INV2

C1

M1M2

Vdd

IbL1

L2

c) d)

Figura 74: Topologias de osciladores RF. a) Oscilador a cristal; b) Oscilador de relaxação; c) Oscilador em anel com inversores; d) Oscilador LC.

Page 113: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

93

O oscilador de relaxação, representado na figura 74-b), é constituído por um

condensador, C, que é carregado alternadamente pelas correntes I1 e I2. A frequência de

oscilação é controlada variando a corrente de carga. O problema desta topologia é que para

diminuir o ruído de fase é necessário aumentar o consumo de potência do circuito, condição

que não permite que seja utilizado em dispositivos portáteis.

O oscilador em anel com inversores, representado na figura 74-c), é o mais simples e o

mais fácil de ser integrado de todos. A frequência é modificada controlando os atrasos dos

inversores, através do controlo da corrente mudando a polarização dos transístores. O

problema deste oscilador é o seu ruído de fase elevado, devido à comutação contínua dos

inversores. Logo este oscilador é inadequado para aplicações RF.

Finalmente, na figura 74-d) representa-se o oscilador sintonizado LC. Neste caso

estamos perante uma solução que pode ser totalmente integrável. Dado que a sua resposta em

frequência depende do circuito ressonante LC, espera-se que, no caso de se conseguir uma

boa implementação destes elementos, isto é, uma implementação que minimize as

componentes parasitas e procure obter o melhor factor de qualidade dentro dos limites dados

pela tecnologia, o espectro em frequência seja mais puro do que aquele alcançado com os

osciladores do tipo relaxação ou em anel.

Algumas topologias típicas de osciladores LC são as representadas na figura 75 [32]: o

oscilador de par cruzado NMOS, o oscilador de par cruzado CMOS e o oscilador Colpitts.

Os osciladores de par cruzado NMOS e CMOS possuem o mesmo princípio de

funcionamento, porém quanto maior for o número de transístores maior será o número de

fontes de ruído. A topologia do oscilador com par cruzado NMOS é bastante usada em

publicações recentes. Este circuito oscilador é constituído por um circuito ressonante,

sintonizado na frequência de operação, e por um circuito activo cuja função é repor as perdas

causadas pelas resistências parasitas do circuito ressonante. O circuito ressonante é

constituído pelas bobinas L1 e L2 e pelos condensadores variáveis C1 e C2. A função dos

condensadores variáveis é permitir o controlo da frequência de oscilação. Isto é feito

mudando o valor da tensão de controlo Vctrl. Os transístores NMOS em configuração de par

cruzado constituem o circuito activo do oscilador. Finalmente, a fonte de corrente I1

proporciona a corrente necessária para a polarização do circuito e pode ser implementada com

um transístor PMOS. O circuito possui uma saída diferencial de tensão entre os nós VP e VN.

Page 114: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

94

C1

M1M2

Vdd

I1L1

L2

C2

VN VP

Vctrl

C1

M2 M1

M4M3

Vdd

L1

VPVN

I1

a) b)

I1

Vdd

L1 R1

C1

C2

M1

Vbia

s

VO

c)

Figura 75: Topologias de osciladores LC. a) Oscilador de par cruzado NMOS; b) Oscilador de par

cruzado CMOS; c) Oscilador Colpitts.

Na figura 76 representa-se um modelo simplificado do oscilador Colpitts apresentado

anteriormente. A capacidade Ceq é dada pela equação (50) e a frequência de oscilação ω0 pela

equação (51).

Page 115: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

95

2

1

C

CCeq =

eqLC

10 =ω

A tensão de saída do oscilador Colpitts é determinada pelo produto da corrente de

polarização Ib e da resistência equivalente Req. Tendo em atenção as deduções apresentadas

em [25, 33] podemos apresentar uma expressão para o valor da tensão gerada à saída do

oscilador Colpitts dada pela equação (52). Podemos concluir então que a amplitude de

oscilação é directamente proporcional à corrente de polarização Ib e à resistência do circuito

ressonante R. A amplitude de oscilação também é controlada pelo factor (1-n), em que

21

1

CC

Cn

+= , ou seja, pela escolha da razão entre as capacidade C1 e C2.

( )nRIV bO −≈ 12

L ReqCeq

2Ibsinωt VO

Figura 76: Modelo simplificado do oscilador Colpitts.

6.2.2.2 Projecto do oscilador Colpitts com amplitude variável

O oscilador com amplitude variável implementado é baseado no oscilador Colpitts

apresentado anteriormente e o circuito projectado está representado na figura 77.

(50)

(51)

(52)

Page 116: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

96

Vdd = 1.8 V

C1

Mb

Rb

M1

M2

LCc

Ib100 uA

l = 180 nmw = 5 um

10 KΩl = 180 nmw = 50 um

l = 180 nmw = 300 um

10 pF

3.55 nH

l = 180 nmw = 80 um

l = 180 nmw = 38 um

l = 180 nmw = 16 um

l = 180 nmw = 7.5 um

C2

M3

M4

M5

M6

Vg1

Vg2

Vg3

Vg4

1.77 pF

1.77 pF

10.7 Ω

Vout

Figura 77: Esquemático do oscilador Colpitts com amplitude variável.

Os valores de C1, C2 e L foram escolhidos de forma a gerar uma frequência de

oscilação de 2.4 GHz. Como já foi dito anteriormente, uma forma de variar a amplitude das

oscilações à saída do oscilador é variar a corrente IDS no transístor M1 e M2. Isso pode ser

conseguido colocando em paralelo com M1 transístores de diferentes dimensões de forma a

obterem-se diferentes níveis de corrente de polarização, obtendo-se assim um controlo da

amplitude do tipo digital. No caso em que Vg1=Vg =Vg3=Vg4=0, os transístores M3, M4, M5 e

M6 estão no estado OFF e portanto a corrente IDS é mínima e de valor aproximadamente igual

a 10Ib. No caso em que as gates dos transístores estão ligadas à gate do transístor M1, os

transístores M3, M4, M5 e M6 passam para o estado ON e consequentemente a corrente IDS é

máxima. Escolhendo de uma forma adequada as dimensões de cada um destes transístores

consegue-se gerar as amplitudes mínima e máxima desejadas na saída do oscilador. Por outro

lado, neste caso, conseguem-se gerar 8 níveis de amplitude diferentes e aproximadamente

igualmente espaçadas entre si.

Page 117: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

97

Na tabela 26 são apresentados os valores da amplitude pico-a-pico na saída do

oscilador, para as diferentes opções ON/OFF consideradas para os transístores M3, M4, M5 e

M6.

Tabela 26: Valores da amplitude à saída do oscilador para diferentes estados ON/OFF dos transístores.

Estado ON/OFF dos transístores do oscilador RF

Amplitude das oscilações (Vp-p)

M 3 M4 M5 M6

OFF OFF OFF OFF 0.326 OFF OFF OFF ON 0.435 OFF OFF ON OFF 0.538 OFF OFF ON ON 0.619 OFF ON OFF OFF 0.765 OFF ON ON OFF 0.897 ON OFF OFF OFF 1.072 ON ON ON ON 1.269

Os valores obtidos para as correntes mínima e máxima, para as quais se obtêm os

valores mínimo e máximo da tensão na saída do oscilador, são IDsmin=1.308 mA e

IDsmax=4.858 mA, respectivamente. Verifica-se também uma ligeira variação na frequência de

oscilação entre foscmin=2.380 GHz e foscmax=2.519 GHz, o que não se revela muito crítico

uma vez que o LNA apresenta aproximadamente um ganho constante nesta gama de

frequências.

Na figura 78 representa-se a amplitude mínima e máxima do sinal sinusoidal à saída

do oscilador RF projectado anteriormente.

Figura 78: Amplitude mínima e máxima do sinal à saída do oscilador RF (2.4 GHz).

Page 118: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

98

6.2.3 Projecto do interruptor RF

Existem inúmeros estudos na literatura acerca do projecto de interruptores para aplicações RF.

Os parâmetros críticos que os caracterizam são as perdas e a linearidade. Seguidamente são

apresentados alguns tipos de interruptores, onde são focadas as suas principais vantagens e

desvantagens. Após esta curta apresentação do estado da arte nesta área é apresentado em

detalhe o interruptor proposto para o protótipo de teste.

6.2.3.1 Estado da arte no projecto de interruptores RF

- Interruptor transmissão/recepção

Um problema que ocorre nos front-ends dos transmissores/receptores RF é o problema da

comutação entre os sinais de emissão e recepção e a antena. Normalmente isto é resolvido

com o interruptor de transmissão/recepção representado na figura 79 [34, 35, 36].

VINV0UT

V1 V2

R4R3

R1 R2

M4

C2C1

M3

M1M2

Figura 79: Interruptor de transmissão/recepção.

Em modo de transmissão, V1=Vdd e V2=0, fazendo com que os transístores M1 e M4

fiquem ON e os transístores M2 e M3 OFF. Em modo de recepção, V1=0 e V2=Vdd, e logo os

transístores M1 e M4 estão OFF e os transístores M2 e M3 estão ON. Os transístores M3 e M4

são utilizados para aumentar o isolamento. O circuito apresenta um bom isolamento no modo

Page 119: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

99

OFF, mas tem o problema de no modo ON as perdas serem elevadas, devido aos transístores

M3 e M4. Para além disso apresenta não-linearidades quando a potência dos sinais aumenta.

- Interruptor ressonante LC

Uma alternativa interessante ao interruptor T/R é apresentada em [36, 37] e o

respectivo circuito está representado na figura 80.

VIN V0UT

Vc1 Vc2

C2

M1 M2

C1

L

Figura 80: Interruptor ressonante LC.

Em modo OFF, os transístores M1 e M2 estão ON, fazendo com que a indutância L e a

capacidade C1 formem um circuito ressonante paralelo (filtro rejeita-banda), com frequência

de ressonância 1

0

1

LC=ω . Em modo ON, os transístores M1 e M2 estão OFF, fazendo com

que a indutância L e a capacidade C2 formem um circuito ressonante série (filtro passa-

banda), com frequência de ressonância 2

0

1

LC=ω . Desta forma o sinal não passa pelos

transístores que apresentam características não-lineares e distorcem os sinais, especialmente

para potências de sinal elevadas. Um problema deste circuito reside no projecto da bobina

dentro de tolerâncias aceitáveis. Outra desvantagem tem a ver com o facto de apenas sinais

com uma determinada frequência específica poderem ser comutados.

- Interruptor a transístor

A forma mais simples de implementar um interruptor é utilizar a configuração

apresentada na figura 81 [36].

Page 120: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

100

VoutVin

Vbias

R

R

R

R

C

C

M1 R

Vc

Figura 81: Interruptor com transístor simples.

Neste caso utiliza-se apenas um transístor NMOS em vez de um transístor PMOS,

devido à elevada transcondutância dos transístores NMOS, o que provoca menores perdas. O

dreno e a fonte do transístor são polarizados com a tensão Vbias e faz-se a comutação do

interruptor entre os estados ON e OFF através de Vc. O interruptor é protegido do ruído de alta

frequência gerado pelos sinais de polarização e controlo, pelos filtros passa-baixo

implementados pelas resistências R e capacidades C.

Para sinais com potência mais baixas, a resistência ON é baixa, o que provoca perdas

baixas no modo ON. A linearidade é boa para potências de sinal baixas, mas com o aumento

do valor da potência esta característica degrada-se.

- Interruptor transmission gate

O interruptor anterior apresenta não-lineridades consideráveis quando a potência dos

sinais envolvidos aumenta. Uma maneira de resolver este problema consiste em ligar um

transístor NMOS e um transístor PMOS em paralelo de forma a implementar uma

transmission gate, tal como o apresentado na figura 82 [36]. Como no caso anterior os sinais

de polarização e controlo são filtrados com os filtros passa-baixo RC.

Page 121: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

101

VoutVin

Vbias

R

R

R

R

C

C

M1 R

Vc

V'c

R

R

C

M2

Figura 82: Interruptor transmission gate.

Este interruptor apresenta uma má capacidade para suportar sinais de potência elevada.

Para potências baixas a resistência ON é elevada, fazendo com que as perdas no modo ON

sejam elevadas. A linearidade é muito boa para potências baixas, mas mais uma vez degrada-

se para potências mais elevadas.

- Interruptor enhanced transmission gate

Para minimizar os problemas descritos anteriormente, é possível utilizar o interruptor

ennhanced transmission gate cujo circuito é representado na figura 83 [36].

O circuito é idêntico ao anterior, só que neste caso os transístores NMOS e PMOS são

polarizados separadamente com Vbias e Vbias’ , respectivamente. As capacidades de

desacoplamento, Cc, separam as diferentes tensões de polarização e apresentam valores

suficientemente elevados de forma a não interferirem com o sinal. Para frequências na ordem

dos GHz, temos Cc=1 uF, o que representa um valor extremamente elevado para ser

implementado on-chip.

Page 122: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

102

Esta configuração apresenta uma boa capacidade para suportar sinais de potência

elevada. Para potências baixas a resistência ON é muito baixa, o que provoca perdas muito

baixas. A linearidade é muito boa tanto para potências baixas como altas.

VoutVin

Vbias

R

R

R

R

C

C

M1R

Vc

V'c

V'bias

R

R

R

R

C

C

M2 R

Cc Cc

Cc Cc

Figura 83: Interruptor enhanced transmission gate.

6.2.3.2 Implementação do interruptor RF

No nosso caso, o interruptor tem de garantir certas especificações não muito comuns no

projecto deste tipo de dispositivos, pelo que optámos por utilizar uma configuração distinta

das configurações apresentadas anteriormente.

Para além de ter de funcionar como buffer quando está no modo de funcionamento

OFF, apresentando uma impedância de saída suficientemente elevada para não interferir com

o sinal à entrada do LNA em modo de operação normal, deve apresentar uma impedância de

saída em modo ON, que em conjunto com a impedância de saída do interruptor T/R (modo

Page 123: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

103

OFF) garanta a adaptação ao valor da impedância de entrada do LNA, que neste caso é igual a

50 Ω. Para além disso, uma vez que as amplitudes do sinal gerado pelo oscilador de amplitude

variável projectado na secção anterior são muito elevadas, é necessário que funcione como

atenuador de forma a diminuir a amplitude do sinal à saída do oscilador para os níveis

desejados.

Na figura 84 é apresentado o esquema do circuito proposto para implementar o

interruptor.

Os transístores M1 e M4 são utilizados para controlar o ganho (atenuação) do

interruptor, enquanto que com os transístores M2 e M3 se obtém a função de interrupção e se

consegue controlar a impedância de saída do interruptor.

Quando VC1=0 e VC2=Vdd, o interruptor está no modo ON e portanto o sinal de teste

gerado pelo oscilador de amplitude variável pode ser aplicado ao LNA (modo de teste). Neste

caso temos de garantir que o interruptor T/R está desligado de forma a garantir que o sinal

captado pela antena não interfira com o sinal de teste.

C1

COUT

Vdd = 1.8 V

R2

M2

M1

M3

M4

R1

VC1

VC2ROUT

VIN VOUT

10 KΩ

10 KΩ

L

15 nH

500 Ω

300 fF

l = 180 nmw = 5 um

l = 180 nmw = 90 um

l = 180 nmw = 45 um

l = 180 nmw = 2.5 um

45.2 Ω

Impedância equivalenteinterruptor T/R e LNA

Figura 84: Esquema do interruptor RF.

Page 124: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

104

Quando VC1=Vdd e VC2=0, o interruptor está em modo OFF e portanto estamos perante

o caso em que o sinal proveniente da antena é aplicado ao LNA (modo de operação normal).

Neste caso a impedância de saída do interruptor tem de ter um valor suficientemente elevado

de modo a não interferir com o sinal proveniente da antena. Supõe-se que a adaptação do

interruptor T/R à impedância de entrada de 50 Ω do LNA é garantida no projecto deste bloco,

o qual não é realizado nesta tese.

De forma a garantir uma certa simetria, considerou-se os valores da largura dos

transístores PMOS igual ao dobro da largura dos transístores NMOS.

Na figura anterior também é representado o circuito equivalente da impedância de

saída do interruptor T/R, quando este se encontra em modo OFF, e da impedância de entrada

do LNA. Esta impedância de saída é modelada por uma resistência R=500 Ω em série com

uma capacidade C=300 fF (valores típicos para este tipo de dispositivos).

Através de sucessivas simulações foi realizado o ajuste nas dimensões dos transístores

M1 e M4 de forma a gerar a amplitude de sinal mínima necessária para implementar o método

de teste proposto. Da mesma forma ajustaram-se os valores das dimensões dos transístores M2

e M3 de forma a gerar uma impedância de saída igual a 50 Ω e assim se garantir a adaptação à

impedância de entrada do LNA de igual valor. Neste aspecto, surgiu um problema, uma vez

que não foi possível eliminar a parte imaginária (reactância capacitiva) da impedância de

saída. Para resolver esta questão introduziu-se no circuito uma bobina L com indutância igual

a 15 nH, de forma a que a reactância produzida por esta indutância eliminasse o valor da

reactância produzida pela parte capacitiva da impedância de saída do interruptor.

Na tabela 27 são apresentados os valores da amplitude pico-a-pico na saída do

interruptor RF projectado anteriormente, para as diferentes opções ON/OFF consideradas para

os transístores M3, M4, M5 e M6 do oscilador projectado na secção anterior.

Na figura 85 representa-se a amplitude mínima e máxima do sinal sinusoidal à saída

do interruptor RF. Convém relembrar que os resultados apresentados foram obtidos ligando o

interruptor ao oscilador RF. Vão ser estes níveis de tensão que vão ser aplicados à entrada do

LNA para se proceder posteriormente à sua caracterização pelo método de teste da

aproximação polinomial.

Page 125: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

105

Tabela 27: Amplitude à saída do interruptor, para diferentes estados ON/OFF dos transístores do oscilador.

Estado ON/OFF dos transístores do oscilador RF

Amplitude na saída do interruptor RF (mV p-p)

M 3 M4 M5 M6

OFF OFF OFF OFF 26.7 OFF OFF OFF ON 35.3 OFF OFF ON OFF 43.7 OFF OFF ON ON 50.0 OFF ON OFF OFF 61.0 OFF ON ON OFF 71.1 ON OFF OFF OFF 83.5 ON ON ON ON 95.5

Figura 85: Amplitude mínima e máxima do sinal à saída do interruptor RF (entrada do LNA).

6.2.4 Implementação do detector de pico

Para efectuar a conversão da tensão sinusoidal de frequência 2.4 GHz presente na saída do

LNA num valor de tensão DC, poderíamos utilizar qualquer um dos esquemas de detectores

de potência apresentados em diversos artigos da especialidade [38, 39, 40, 41].

No nosso caso vamos utilizar um detector de pico (rectificador de meia onda) baseado

no esquema apresentado em [42]. O esquema do rectificador de meia onda (semi-ciclos

negativos) utilizado para este propósito está representado na figura 86. Trata-se de um

rectificador de meia onda que utiliza um transístor MOS de canal P ligado como um díodo.

Page 126: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

106

Vdd = 1.8 V

R2

C2

R1

VB = 1.3 V

C1

10 pF

10 KΩ

2.5 Ω

6 pF

SaídaLNA

TensãoDCl = 180 nm

w = 40 um

Figura 86: Esquema do detector de pico.

Começámos por caracterizar o detector de pico em termos da sua função de

transferência. Na tabela 28, para diferentes valores de tensão sinusoidal à entrada do detector

de pico indicam-se os respectivos valores de tensão DC na saída.

Nos gráficos das figuras 87 e 88 representam-se os valores mínimo e máximo da

tensão sinusoidal à entrada e da tensão DC à saída do detector de pico, respectivamente. Pode-

se facilmente verificar que o ripple na saída DC é relativamente baixo (entre os 5 mV e os

17 mV), o que representa menos de 2% do valor da amplitude de pico da tensão sinusoidal

presente na entrada.

Tabela 28: Valores da tensão DC na saída do detector de pico para diferentes valores da tensão de entrada.

Tensão sinusoidal de pico à entrada do detector de pico (V)

Tensão DC na saída do detector de pico (V)

0.1987 1.2950 0.2960 1.2570 0.3971 1.1795 0.4966 1.0895 0.5959 0.9982 0.6952 0.9042 0.7945 0.8099 0.8938 0.7160 0.9931 0.6214

Page 127: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

107

Figura 87: Amplitude mínima à entrada do detector de pico e respectivo valor DC na saída.

Figura 88: Amplitude máxima à entrada do detector de pico e respectivo valor DC na saída.

Os valores numéricos da tabela 28 estão representados graficamente na figura 89.

Figura 89: Valores da tensão DC na saída do detector de pico em função da tensão sinusoidal de entrada.

Page 128: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

108

O passo seguinte consiste no cálculo de uma função matemática que para um

determinado valor de tensão DC na saída do detector de pico nos permita calcular o

correspondente valor da tensão sinusoidal presente na entrada do detector de pico. Para tal é

necessário calcular os coeficientes ( )''2

'1

'0 ,...,,, naaaa do polinómio

nynyyx VaVaVaaV '2'

2'1

'0 ...++++= , em que Vy representa a tensão DC na saída do detector de

pico e Vx a tensão sinusoidal na entrada do detector de pico, que melhor aproxima a função de

transferência do detector de pico anteriormente calculada. Desta forma obtemos os diferentes

níveis de tensão à saída do LNA e consequentemente podemos aplicar o método de teste da

aproximação polinomial ao conjunto de pontos (Vin, Vout) do LNA. Neste caso utilizou-se um

polinómio com grau n=5.

6.2.5 Caracterização do LNA pelo método de teste da

aproximação polinomial

Para aplicar o método da aproximação polinomial na caracterização do LNA do sistema

Bluetooth projectado na secção 6.2.1 em termos de ganho, P1dB e IP3 é necessário obter a

função de transferência Vin vs Vout do LNA.

Para isso, aplica-se à entrada do LNA os diferentes níveis de tensão gerados pelo

conjunto oscilador variável e interruptor RF. Utilizando o detector de pico projectado na

secção anterior, para cada nível de tensão aplicado na entrada do LNA obtém-se o valor

correspondente da tensão DC. Efectuando posteriormente uma conversão desses valores de

tensão DC para valores de amplitude de pico na saída do LNA, através da função polinomial

que descreve a função de transferência do detector de pico, ficamos com o conjunto de pontos

(Vin, Vout) necessário para aplicar o método de teste e obter os parâmetros desejados do LNA.

Os valores da tensão de pico gerados à entrada do LNA e os correspondentes valores

de tensão DC obtidos na saída do detector de pico, são apresentados na tabela 29.

Page 129: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

109

Tabela 29: Valores da tensão DC na saída do detector de pico em função da tensão de entrada do LNA.

Tensão sinusoidal de pico à entrada do LNA (mV)

Tensão DC na saída do detector de pico (V)

13.35 1.290 17.65 1.259 21.85 1.210 25.00 1.171 30.50 1.109 35.55 1.069 41.75 1.031

Na figura 90-a) está representado o conjunto de pontos que define a função de

transferência Vin vs Vout do LNA, assim como o polinómio que melhor aproxima esse conjunto

de pontos. Na figura 90-b), representam-se graficamente os pontos P1dB e IP3 obtidos com o

método da aproximação polinomial.

a) b)

Figura 90: Função de transferência do LNA , obtida por simulação em Cadence-SpectreRF. a) Conjunto de pontos que definem a função de transferência Vin vs Vout do LNA (2.4 GHz) e respectiva função de transferência obtida com o polinómio de 3ª ordem; b) Representação gráfica de P1dB e IP3 do LNA (2.4 GHz).

Na tabela 30 são apresentados os valores obtidos por simulação no Cadence-

SpectreRF e pelo método de teste da aproximação polinomial para os parâmetros P1dB e IP3.

Page 130: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

110

Tabela 30: Valores de P1dB e IP3 do LNA para sistema Bluetooth, obtidos em Cadence-SpectreRF.

Parâmetros Resultados de simulação do Cadence-SpectreRF (dBm)

Resultados do método de teste (dBm)

P1dB in -17.64 -18.52 P1dB out -4.58 -6.65 IP3in -6.54 -8.89 IP3out 7.58 7.39

Pela análise da tabela anterior podemos verificar que existem algumas diferenças, não

muito significativas, entre os resultados obtidos por simulação e os resultados obtidos pelo

método de teste da aproximação polinomial.

Figura 91: Ganho em função da potência de entrada do LNA para sistema Bluetooth (2.4 GHz).

Finalmente, na figura 91 representa-se o gráfico do ganho em função da potência

aplicada à entrada do LNA. A curva A (vermelho) representa os valores obtidos por

simulação no Cadence-SpectreRF e a curva B (azul) representa os valores obtidos pelo

método de teste da aproximação polinomial. Verifica-se que as diferenças entre as duas

características é aproximadamente 1 dB, o que não é muito significativo. Convém salientar

que normalmente nas folhas de características de amplificadores RF, todos os valores dos

parâmetros anteriormente calculados são apresentados com um valor típico e com valores

mínimos e máximos admissíveis. Essas variações são na maior parte das vezes dentro de

Page 131: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

111

gamas de 1 a 2 dB, e por isso as diferenças verificadas no cálculo do ganho, P1dB e IP3 do

LNA não podem ser consideradas muito relevantes. Para além disso em situações práticas de

medida destes parâmetros, temos que entrar em consideração com os erros introduzidos pelos

equipamentos de medida que se podem situar em algumas décimas de dB.

6.3 Conclusão

Neste capítulo começámos por apresentar os esquemas das infra-estruturas de teste propostas

para a implementação em-circuito das três novas metodologias de teste. Apenas foi

implementada a infra-estrutura referente à metodologia de teste baseada na aproximação

polinomial. Quanto às infra-estruturas de teste propostas para as restantes metodologias de

teste, necessitam de ser cuidadosamente avaliadas de forma a se poder avançar com a sua

implementação.

Para realizar a implementação em-circuito do método de teste da aproximação

polinomial, aplicado ao teste e caracterização do LNA, começámos por projectar o LNA a ser

testado. Projectou-se um LNA em topologia de fonte comum com degeneração indutiva da

fonte MOS, para um sistema de recepção Bluetooth a operar a uma frequência de 2.4 GHz.

Procedeu-se seguidamente à simulação em Cadence-SpectreRF do circuito projectado, de

forma a obter os principais parâmetros de desempenho do LNA, tais como, parâmetros S,

factor de ruído, impedância de entrada e saída, P1dB e IP3.

De forma a poder aplicar o método de teste da aproximação polinomial na

caracterização do LNA, procedeu-se ao projecto dos circuitos adicionais necessários para

gerar os estímulos de teste na entrada do LNA (oscilador RF de amplitude variável e

interruptor RF com controlo do ganho). Projectou-se também um detector de pico

(rectificador de meia onda) para converter a tensão sinusoidal à saída do LNA num valor de

tensão DC.

Finalmente, utilizando todos os recursos implementados anteriormente obteve-se a

função de transferência do LNA e utilizando o método de teste da aproximação polinomial

calculou-se os parâmetros P1dB, IP3 e ganho do LNA. Comparando estes valores com os

valores obtidos inicialmente por simulação em Cadence-SpectreRF, verificou-se que as

diferenças não eram significativas, podendo então concluir-se que o método de teste funciona

correctamente.

Page 132: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Implementação em-circuito das novas metodologias de teste

112

Page 133: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

CAPÍTULO 7

Conclusão

O teste de circuitos e sistemas RF constitui actualmente uma dificuldade para os fabricantes

destes dispositivos, devido aos elevados níveis de integração, diversidade e complexidade dos

circuitos a testar, custos elevados dos testadores, tempo necessário para realizar as operações

de teste, entre outros. Por outro lado constatamos que o desenvolvimento de metodologias de

auto-teste de circuitos RF está ainda a dar os primeiros passos e a ser alvo dos primeiros

estudos.

Para ultrapassar estas dificuldades e limitações, nesta dissertação propôs-se o

desenvolvimento de novas metodologias de teste em-circuito e de novas metodologias de

projecto para a testabilidade de amplificadores RF

7.1 Contribuição do trabalho apresentado

No início desta dissertação apresentou-se uma revisão sobre os conceitos teóricos relativos a

aspectos relacionados com a distorção harmónica, intermodulação, ponto de compressão do

ganho de 1 dB, ponto de intersecção de 3ª ordem, gama dinâmica e ruído em amplificadores

RF.

Foram apresentadas três novas metodologias de teste dedicadas ao teste de

amplificadores RF. Estas metodologias de teste permitem-nos calcular o ganho, o ponto de

compressão do ganho de 1 dB, o ponto de intersecção de 3ª ordem, a distorção harmónica, a

relação sinal-ruído e o factor de ruído de amplificadores RF.

A primeira metodologia de teste apresentada, consiste na obtenção dos coeficientes do

polinómio de 3ª ordem que melhor aproxima a função de transferência de um LNA. As outras

Page 134: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Conclusão

114

duas metodologias são baseadas na realização de operações de correlação entre o sinal de

saída do LNA e sinais de teste em fase e quadratura.

Para as metodologias de teste descritas anteriormente foram apresentados os principais

resultados de simulação obtidos em MatLab, o que nos permitiu validar por simulação a

aplicabilidade de cada novo método de teste proposto à caracterização do LNA, em termos

dos parâmetros descritos anteriormente.

Para o método de teste da aproximação polinomial, obtiveram-se resultados de

simulação de forma a estudar a consideração de pontos aleatórios pertencentes à função de

transferência do LNA e de forma a estudar o impacto de erros relacionados com a geração

incorrecta dos estímulos de teste e com a medição incorrecta nos aparelhos de medida das

respectivas respostas. No primeiro caso, os valores médios do erro e o desvio padrão, são

relativamente pequenos o que nos permite concluir que não será necessário ter muito cuidado

com esse aspecto. No segundo caso, concluiu-se que devemos ter algum cuidado ao efectuar

estas medições experimentalmente, de forma a minimizarmos os erros introduzidos no cálculo

dos parâmetros P1dB e IP3 do LNA.

Para o método de teste da correlação cruzada, foi apresentado um estudo que nos

permitiu concluir positivamente acerca da utilização de sinais de correlação quadrados, mais

fáceis de gerar, em vez de sinais sinusoidais. Efectuou-se também um estudo do erro

introduzido no cálculo dos parâmetros H2 e H3 por variações no desfasamento de 90º entre os

sinais em fase e quadratura, que permitiu concluir que não é necessário ter uma grande

precisão na geração dos sinais de teste em fase e quadratura para obter resultados satisfatórios

para este método de teste.

Os resultados experimentais para o método de teste da aproximação polinomial,

obtidos com o protótipo de teste construído, permitiu-nos concluir que é viável a utilização

deste método para o cálculo de parâmetros como o ganho, P1dB e IP3 do LNA.

Apresentaram-se também resultados de simulação em ADS e alguns resultados

experimentais que permitiram concluir que este método é também aplicável ao teste e

caracterização de amplificadores de potência RF em classe A.

Esta conclusão foi suportada pelos resultados de simulação obtidos em ADS, relativos

a um amplificador de potência em classe A, a um amplificador de potência implementado na

tecnologia SiGe e a um amplificador de potência distribuído implementado na tecnologia

GaAs. Os resultados experimentais obtidos com o amplificador de potência RF comercial e

Page 135: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Conclusão

115

com o amplificador de potência implementado na tecnologia GaAs revelaram-se de extrema

importância para esta validação.

No último capítulo apresentaram-se os esquemas das infra-estruturas de teste

propostas para a implementação em-circuito das três novas metodologias de teste.

Apenas foi implementada a infra-estrutura referente à metodologia de teste baseada na

aproximação polinomial. Quanto às infra-estruturas de teste propostas para as restantes

metodologias de teste, necessitam de ser cuidadosamente avaliadas de forma a se poder

avançar com a sua implementação.

Para realizar a implementação em-circuito do método de teste da aproximação

polinomial, aplicado ao teste e caracterização do LNA, projectou-se um LNA em topologia de

fonte comum com degeneração indutiva da fonte MOS, para um sistema de recepção

Bluetooth a operar a uma frequência de 2.4 GHz. Procedeu-se seguidamente à simulação em

Cadence-SpectreRF do circuito projectado, de forma a obter os principais parâmetros de

desempenho do LNA, tais como, parâmetros S, factor de ruído, impedância de entrada e saída,

P1dB e IP3.

De forma a poder aplicar o método de teste da aproximação polinomial na

caracterização do LNA, procedeu-se ao projecto dos circuitos adicionais necessários para

gerar os estímulos de teste na entrada do LNA (oscilador RF de amplitude variável e

interruptor RF com controlo do ganho). Projectou-se também um detector de pico

(rectificador de meia onda) para converter a tensão sinusoidal à saída do LNA num valor de

tensão DC.

Comparando os valores obtidos com o método de teste da aproximação polinomial

com os valores de P1dB, IP3 e ganho obtidos inicialmente por simulação em Cadence-

SpectreRF, verificou-se que as diferenças não eram significativas, podendo então concluir-se

que o método de teste funciona correctamente.

7.2 Perspectivas de trabalho futuro

O trabalho desenvolvido nesta dissertação debruça-se essencialmente sobre o método de teste

da aproximação polinomial. Para os outros métodos de teste apenas são apresentados

resultados preliminares de simulação em MatLab e são sugeridas as infra-estruturas de teste,

as quais devem ser cuidadosamente avaliadas. Atendendo a estas considerações, uma

Page 136: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Conclusão

116

possibilidade de desenvolvimento de trabalho consiste no estudo mais aprofundado dos

métodos de teste da correlação cruzada e da auto-correlação, na obtenção de mais resultados

de simulação, na validação das metodologias experimentalmente e tomando como base as

infra-estruturas de teste propostas para cada método projectar as realizações em-circuito dos

mesmos.

Quanto ao método de teste da aproximação polinomial, existem alguns aspectos que

devem ser melhorados e investigados. Deve-se avaliar, por exemplo, a aplicação desta

metodologia de teste à caracterização de amplificadores de potência RF para as outras classes

de funcionamento, fazendo adaptações ao método caso seja necessário. Também se deve

investigar cuidadosamente as questões relacionadas com o cálculo da amplitude dos

harmónicos, uma vez que os resultados obtidos não foram muito satisfatórios.

Por fim, deve-se aprofundar e desenvolver a implementação em-circuito do método de

teste da aproximação polinomial, no que diz respeito a uma implementação diferencial de

todos os blocos (LNA diferencial, oscilador diferencial e detector de pico diferencial). Deve-

se investigar a reutilização do oscilador local do sistema Bluetooth para efectuar a geração dos

estímulos de teste a aplicar na entrada do LNA. Por outro lado, era útil realizar a extensão

deste método para suportar o teste do PA do sistema Bluetooth e de outros blocos do sistema.

Também é importante projectar a interface com a infra-estrutura de teste analógico-misto,

para efectuar a medida das tensões de saída do detector de pico.

Page 137: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Referências

[1] http://tab.computer.org/tttc/Activities/RfTest.html.

[2] International Technology Roadmap for Semiconductors, Edição 2004, http://public.itrs.net.

[3] Raimondo P. Sessego, “Challenges Confront RFIC, WLAN Testing”, http://www.chipcenter .com/knowledge centers/test measurement/, Agosto 2003.

[4] E. A. McShane, K. Shenai, B. Blaes, “A monolithic RF microsystem in SOI CMOS for low-power operation in radiation-intense environments”, Proceedings of the IEEE Aerospace Conference, vol. 5, pp. 421-429, Março 2000.

[5] S. Ozev, C. Olgaard, A. Orailoglu, “Testability Implications in Low-cost Integrated Radio Transceivers: A Bluetooth Case Study”, Proceedings of the International Test Conference, pp. 965-974, Outubro/Novembro 2001.

[6] R. Voorakaranam, S. Cherubal, A. Chartterjee, “A Signature Test Framework for Rapid Production Testing of RF Circuits”, Proceedings of the Design Automation and Test Conference in Europe, vol. 44, 2002.

[7] D. Lupea, U. Pursche, H. J. Jentschel, “Spectral Signature Analysis – BIST for RF Front-ends”, Advances in Radio Science, vol. 1, pp. 155-160, 2003.

[8] Benoît R. Veillette, Gordon W. Roberts, “A Buit-In Self-Test Strategy for Wireless Communication Systems”, Proceedings of the International Test Conference, pp. 930-939, Outubro1995.

[9] David M. Pozar, Microwave and RF Design of Wireless Systems, John Wiley & Sons, Inc., capítulo 3, pp. 98-106, 2001.

[10] John Rogers, Cavin Plett, Radio Frequency Integrated Circuit Design, Artech House, 2003.

[11] António Gabriel Pinho, José Machado da Silva, “A Test Methodology to Compute Typical LNA Characterization Parameters”, Proceedings of the XIX Conference on Design of Circuits and Integrated Systems, pp. 127, Novembro 2004.

[12] H. Mendonça, J. Machado Silva, and J. S. Matos, “Computing ADC Harmonic Content from a Reduced Number of Values”, International Measurement and Test Conference, vol. 2, pp. 1217-1220, 2003.

[13] J. Machado Silva, Jorge S. Duarte and J. S. Matos, “Functional In-Circuit Characterisation of Σ∆ Modulators”, Measurement, Journal of the International Measurement Confederation IMEKO, Elsevier, Special Issue on ADC Modelling and Testing, vol. 32/4, pp. 257-264, Novembro 2002.

[14] Manuais online do MatLab, www.mathworks.com, The Mathworks, Inc.

Page 138: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Referências

118

[15] Folha de características do Kit CC1010 da Chipcon, Single Chip Very Low Power RF Transceiver With 8051-Compatible Microcontroller, Chipcon.

[16] Folha de características do componente MAX2611, DC-to Microwave Low-Noise Amplifier, Maxim/Dallas Semiconductor.

[17] Folha de características do componente AD8310, Fast, Voltage-Out DC–440MHz 95dB Logarithmic Amplifier, Analogue Devices.

[18] Manuais online do ADS, http://eesof.tm.agilent.com, Agilent Technologies.

[19] M. Häfele, A. Trasser, K. Beilenhoff, H. Schumacher, “A GaAs Distributed Amplifier with an Output Voltage of 8.5Vpp for 40Gb/s Modulators”, Junho 2005.

[20] Folha de características do PA comercial, Medium High Power Amplifiers-50KHz to 8GHz, Mini-Circuits, http://www.minicircuits.com

[21] Folha de características do Bias Tee.

[22] Folha de características do combinador de potência, 2-8GHz 180º Hybrid Coupler-Model 4020080 Double Arrow, Krytar, www.krytra.com

[23] Manuais online do Cadence Design Systems-SpectreRF, http://www.cadence.com/, Cadence.

[24] J. P. Silver, MOS Common-Source LNA Design Tutorial, www.rfic.co.uk.

[25] Thomas H. Lee, The Design of CMOS Radio-frequency Integrated Circuits, Cambridge University Press, 1998.

[26] C. Patrick Yue, S. Simon Wong, “Design Strategy of On-Chip Inductors for Highly Integrated RF Systems”, Proceedings of the Design Automation Conference, pp. 982-987, Junho 1999.

[27] Victor Grade Tavares, Bluetooth Transceiver, Março 2005.

[28] Ming-Chang Sun, Shing Tenqchen, Ying-Haw Shu, Wu-Shiung Feng, “A 2.4GHz Image-Reject Low Noise Amplifier”, IEEE, 2003.

[29] Xiaopeng Li, Hong-Sun Kim, Mohammed Ismail, Hakan Olsson, “A Novel Design Approach for GHz CMOS Low Noise Amplifiers”, IEEE, 1999.

[30] Trung-Kien Nguyen, Chung-Hwan Kim, Gook-Ju Ihm, Moon-Su Yang, Sang-Gug Lee, “CMOS Low Noise Amplifier Design Optimization Techniques”, IEEE Transactions on Microwave Theory and Techniques, vol. 52, nº.5, Maio 2004.

[31] Paolo Rossi, Francesco Svelto, Andrea Mazzanti, Pietro Andreani, “Serendipitous Noise Reduction in Inductively Degenerated CMOS RF LNAs”.

[32] Andrés Farfán Peláez, Projecto e Implementação de um Oscilador Monolítico a 2.4GHz em Tecnologia CMOS 0.35um, Dissertação apresentada à Escola Politécnica da Universidade de São Paulo para obtenção do título de Mestre em Engenharia Eléctrica, 2003.

[33] Pietro Andreani, Xiaoyan Wang, Luca Vandi, Ali Fard, “A Study of Phase Noise in Colpitts and LC-Tank CMOS Oscillators”, IEEE Journal of Solid-State Circuits, vol. 40, nº. 5, pp. 1107-1118, Maio 2005.

Page 139: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Referências

119

[34] Feng-Jung Huang, Kenneth O., “A 0.5um CMOS T/R Switch for 900-MHz Wireless Applications”, IEEE Journal of Solid-State Circuits, vol. 36, nº. 3, Março 2001.

[35] Zhenbiao Li, Hyun Yoon, Feng-Jung Huang, Kenneth K. O., “5.8-GHz CMOS T/R Switches With High and Low Substrate Resistances in a 0.18um CMOS Process”, IEEE Microwave and Wireless Components Letters, vol. 13, nº. 1, Janeiro 2003.

[36] Anders Hedberg, Design of CMOS RF-Switches for a Multi-Band Radio Front-End, Master Thesis Division of Electronic Devices, 2003.

[37] Tsuneo Tokumitsu, Ichihiko Toyoda, Masayoshi Aikawa, “Low Voltage, High Power T/R Switch MMIC Using LC Resonators”, IEEE Microwave and Milimeter-Wave Monolithic Circuits Symposium, pp. 27-30, 1993.

[38] Stacy Ho, “A 450MHz CMOS RF Power Detector”, IEEE Radio Frequency Integrated Circuits Symposium, pp. 209-212, Maio 2001.

[39] Mohamed Ratni, Bernard Huyart, Eric Bergeault, Louis Jallet, “RF Power Detector Using a Silicon MOSFET”, IEEE MTT-S – Microwave Symposium Digest, vol. 2, pp. 1139-1142, Junho 1998.

[40] Suhas Kulhalli, Sumantra Seth, Shih-Tsang Fu, “An Integrated Linear RF Power Detector”, ISCAS, vol. 1, pp. 625-628, Maio 2004.

[41] Tao Zhang, William R. Eisenstadt, Robert M. Fox, “A Novel 5GHz Power Detector”, ISCAS, vol. 1, pp. 897-900, Maio 2004.

[42] José Machado da Silva, António Gabriel Pinho, “Design for Embedded Testing of an LNA”, Proceedings of the XX Conference on Design of Circuits and Integrated Systems, pp. 209, Novembro 2005.

Page 140: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Referências

120

Page 141: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Anexo A

Rotinas MatLab de simulação

Apresentam-se neste anexo os programas e rotinas MatLab usadas nas simulações referentes

ao cálculo do ponto de compressão do ganho de 1 dB, ponto de intersecção de 3ª ordem,

ganho e amplitude dos harmónicos H2 e H3.

A.1 calculo_P1dB_IP3_ganho.m

function calculo_P1dB_IP3_ganho(ganho_dB) format long Vi=[0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.010 0.011 0.012 ... 0.013 0.014 0.015 0.016 0.017 0.018 0.019 0.020 0.021 0.022 0.023 0.024 ... 0.025 0.026 0.027 0.028 0.029 0.030 0.031 0.032 0.033 0.034 0.035 0.036]; Vo=[0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.010 0.011 0.012 ... 0.013 0.014 0.015 0.016 0.017 0.018 0.0188 0.01 97 0.0205 0.0212 0.0220 0.0227 ... 0.0235 0.0241 0.0247 0.0253 0.0259 0.0265 0.027 0 0.0275 0.0280 0.0284 0.0287 0.0290]; ganho=10^(ganho_dB/20); Vo=Vo*ganho; P_in=0.5*Vi.^2; P_in_dBm=10*log10(P_in/1e-3); P_out=0.5*Vo.^2; P_out_dBm=10*log10(P_out/1e-3); % Obtençao do polinomio de 3ª ordem que melhor apro xima a funçao % de transferencia Vin vs Vout do LNA. [a,s]=polyfit(Vi,Vo,3); a0=a(4) a1=a(3) a2=a(2) a3=a(1) Vy=a0+a1.*Vi+a2.*Vi.^2+a3.*Vi.^3; plot(Vi,Vo,'r-*',Vi,Vy,'b') grid on ylabel('Tensao Saida (V)') xlabel('Tensao Entrada (V)') pause

Page 142: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Anexo A

122

% Representaçao grafica de P1dB e IP3 num=length(Vi); Vi_min=Vi(1); Vi_max=Vi(num); Vii=(Vi_min:0.00001:3*Vi_max); aux=length(Vii); Vy=a0+a1.*Vii+a2.*Vii.^2+a3.*Vii.^3; Pii_dBm=10*log10(0.5*Vii.^2/1e-3); Po_linear=0.5*a1.^2.*Vii.^2; Po_linear_dBm=10*log10(Po_linear/1e-3); Po_real=0.5*(a1.*Vii+3/4*a3.*Vii.^3).^2; Po_real_dBm=10*log10(Po_real/1e-3); Po_intermod=(9/32)*a3.^2.*Vii.^6; Po_intermod_dBm=10*log10(Po_intermod/1e-3); plot(Pii_dBm(1:4000),Po_real_dBm(1:4000),'r-',Pii_d Bm,Po_linear_dBm,'b-',Pii_dBm,Po_intermod_dBm,'g-') grid on ylabel('Potencia de saida (dBm)') xlabel('Potencia de entrada (dBm)') pause % Calculo de P1dB e IP3 V_1dB_in=sqrt(abs(((a1/(10^(1/20)))-a1)*(4/(3*a3))) ); P_1dB_in=0.5*V_1dB_in.^2; P_1dB_in=10*log10(P_1dB_in/1e-3) V_1dB_out=a1.*V_1dB_in+3/4*a3.*V_1dB_in.^3; P_1dB_out=0.5*V_1dB_out^2; P_1dB_out=10*log10(P_1dB_out/1e-3) ponto_intermodulacao_saida=abs((2*a1^3)/(3*a3)); ponto_intermodulacao_saida_dBm=10*log10(ponto_inter modulacao_saida/1e-3); IP3_out=ponto_intermodulacao_saida_dBm ponto_intermodulacao_entrada_tensao=sqrt(ponto_inte rmodulacao_saida/(0.5*a1^2)); ponto_intermodulacao_entrada_pot=0.5*ponto_intermod ulacao_entrada_tensao^2; ponto_intermodulacao_entrada_dBm=10*log10(ponto_int ermodulacao_entrada_pot/1e-3); IP3_in=ponto_intermodulacao_entrada_dBm % Calculo do ganho ganho=a1+(3/4)*a3.*Vi.^2; ganho_dB=20*log10(ganho) plot(P_in_dBm,ganho_dB,'r-') grid on ylabel('Ganho (dB)') xlabel('Potencia Entrada (dBm)')

A.2 calculo_harmonicos_H2_H3.m

function coeficientes_H2_H3(ganho_dB,amp_RF) % O valor de Vfs deve ser entre 0.001V e 0.036V Vfs = amp_RF;

Page 143: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Anexo A

123

format long Vi=[0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.010 0.011 0.012 ... 0.013 0.014 0.015 0.016 0.017 0.018 0.019 0.020 0.021 0.022 0.023 0.024 ... 0.025 0.026 0.027 0.028 0.029 0.030 0.031 0.032 0.033 0.034 0.035 0.036]; Vo=[0.001 0.002 0.003 0.004 0.005 0.006 0.007 0.008 0.009 0.010 0.011 0.012 ... 0.013 0.014 0.015 0.016 0.017 0.018 0.0188 0.01 97 0.0205 0.0212 0.0220 0.0227 ... 0.0235 0.0241 0.0247 0.0253 0.0259 0.0265 0.027 0 0.0275 0.0280 0.0284 0.0287 0.0290]; ganho=10^(ganho_dB/20); Vo=Vo*ganho; P_in=0.5*Vi.^2; P_in_dBm=10*log10(P_in/1e-3); P_out=0.5*Vo.^2; P_out_dBm=10*log10(P_out/1e-3); P = 3; p = polyfit(Vi, Vo, P) h = heP2H(p, Vfs, 0); h h0=20*log10(abs(h(1))) h1=20*log10(abs(h(2))) h2=20*log10(abs(h(3))) h3=20*log10(abs(h(4))) h = flipdim(h,1) for n=2:P disp(sprintf('H%02d = %10.6f dBc', n, 20*log10( abs(h(n+1))/abs(h(2))))); end disp(' ');

A.3 heP2H.m

function h = heP2H(p, V, verbose) if nargin < 3; verbose = 0; end; if nargin < 2; V = 1; end; p = flipdim(p, 2)'; P = size(p,1) - 1; A = heGetA(P, V) C = heGetC(P) h = (C * A * p)'; return; if verbose disp('harmonicos obtidos a partir do polinomio'); for n = 2:P disp(sprintf('h%02d = %.2f dB', n, -sign(h(n+1) )*20*log10(h(n+1)/h(2)))); end end return;

Page 144: Metodologias de teste e projecto para a testabilidade de ... · PDF fileiii Resumo Palavras chave: RF, testabilidade e técnicas de teste, amplificador de baixo-ruído, amplificador

Anexo A

124

A.4 heGetA.m

function A = heGetA(P, V) A = zeros(P+1, P+1); for j=1:P+1 A(j,j) = V^(j-1); end

A.5 heGetC.m

function C = heGetC(P) C = zeros(P+1, P+1); for i=0:P for j=0:P if i>j | mod(i+j,2) %isodd(i+j) C(i+1,j+1) = 0; else C(i+1,j+1) = 2^(1-j)*nchoosek(j, (j-i)/2); end end end C(1,:) = C(1,:) / 2;