67
Pedro Vasconcelos Cambraia Projeto e construção de um sistema de potência para áudio utilizando amplificadores classe D Belo Horizonte 2017

Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Pedro Vasconcelos Cambraia

Projeto e construção de um sistema de potênciapara áudio utilizando amplificadores classe D

Belo Horizonte

2017

Page 2: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 3: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Pedro Vasconcelos Cambraia

Projeto e construção de um sistema de potênciapara áudio utilizando amplificadores classe D

Monografia apresentada durante o Seminá-rio dos Trabalhos de Conclusão do Cursode Graduação em Engenharia Elétrica daUFMG, como parte dos requisitos necessá-rios à obtenção do título de Engenheiro Ele-tricista.

Universidade Federal de Minas Gerais – UFMG

Escola de Engenharia

Curso de Graduação em Engenharia Elétrica

Orientador: Prof. Thiago Oliveira

Belo Horizonte2017

Page 4: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 5: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Este trabalho é dedicado a minha família, que tanto me apoiou para a minha formaçãocomo Engenheiro Eletricista, e para meu querido amigo Felipe Costa, por me dar força e

coragem para a execução deste trabalho.

Page 6: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 7: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

“Todo aquele que se dedica ao estudo da ciênciachega a convencer-se de que nas leis do Universo

se manifesta um Espírito sumamente superior ao do homem,e perante o qual nós, com os nossos poderes limitados,

devemos humilhar-nos.”(Albert Einsten)

Page 8: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 9: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

ResumoAmplificadores de áudio de potência são equipamentos com uma importância econômicaconsiderável, centenas de milhares de equipamentos deste tipo são produzidos a cada ano.Levando este fato como motivação, este trabalho tem como objetivo a criação de umprojeto de amplificador chaveado, classe-D, com 750W de potência, que responda a todoo espectro de frequências do áudio (20Hz-20kHz), com a menor distorção harmônica ede fase possível. O trabalho abrange os cálculos do circuito por partes: Gerador de ondatriangular, modulação PWM, driver, geração de tempo morto, circuito amplificador, emalha de controle. Após testes e simulações, observa-se um bom funcionamento do circuitocom pouca distorção de fase, e uma 𝑇𝐻𝐷 < 1% para a largura de banda de interesse.Concluiu-se que o amplificador está apto para a construção em placa PCB para enfimefetuar-se testes com circuito resistivo, e finalmente o teste com alto-falantes.

Palavras-chaves: Amplificador. Classe-D. Eletrônica. Áudio. PWM.

Page 10: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 11: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Lista de ilustrações

Figura 1 – Circuito Seguidor de emissor utilizado como amplificador classe A . . . 21Figura 2 – Circuito típico de um amplificador Classe B simulado em Spice . . . . 22Figura 3 – Amplificador Classe AB utilizando polarização por diodos . . . . . . . 23Figura 4 – Amplificador Classe D sem realimentação (FOONG; TAN, 2007) . . . . 24Figura 5 – Topologia Meia-Ponte (PIRES, 2010) . . . . . . . . . . . . . . . . . . . 26Figura 6 – Topologia Ponte Completa (PIRES, 2010) . . . . . . . . . . . . . . . . 27Figura 7 – Os quatro tipos de modulações, PAM, PPM, PWM, PDM (NIELSEN,

1998) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29Figura 8 – Exemplo de funcionamento da modulação PWM . . . . . . . . . . . . . 30Figura 9 – Tipos de modulação PWM possíveis . . . . . . . . . . . . . . . . . . . 31Figura 10 – Funcionamento da Modulação PWM NADS(NIELSEN, 1998) . . . . . 31Figura 11 – Funcionamento da Modulação PWM NBDS(NIELSEN, 1998) . . . . . 32Figura 12 – Funcionamento da Modulação PWM NADD(NIELSEN, 1998) . . . . . 32Figura 13 – Funcionamento da Modulação PWM NBDD(NIELSEN, 1998) . . . . . 33Figura 14 – Gráfico de resposta em frequência da modulação PWM NADS (Com

índice de modulação 𝑀 = −40𝑑𝐵), e gráfico de resposta em frequênciacom relação ao índice de modulação (𝑓𝑥𝑀)(NIELSEN, 1998) . . . . . 34

Figura 15 – Resposta em frequência da Modulação PWM NBDS (NIELSEN, 1998) 35Figura 16 – Resposta em frequência da Modulação PWM NADD(NIELSEN, 1998) 36Figura 17 – Resposta em frequência da Modulação PWM NBDD(NIELSEN, 1998) 37Figura 18 – Filtro Passa-Baixas Butterworth de 2a Ordem(PIRES, 2010) . . . . . . 38Figura 19 – Circuito gerador de onda triangular, envolvendo um comparador com

histerese e um integrador(SMITH, 2000) . . . . . . . . . . . . . . . . . 41Figura 20 – Circuito para modulação PWM envolvendo dois comparadores e um

inversor de fase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42Figura 21 – Circuito gerador de tempo morto. . . . . . . . . . . . . . . . . . . . . . 45Figura 22 – Circuito gerador de onda triangular simulado em LTSpice . . . . . . . 47Figura 23 – Simulação do circuito gerador de onda triangular. . . . . . . . . . . . . 47Figura 24 – Simulação do circuito gerador de onda triangular. . . . . . . . . . . . . 48Figura 25 – Circuito PWM para simulação em LTSpice. . . . . . . . . . . . . . . . 48Figura 26 – Simulação de um dos comparadores do circuito PWM . . . . . . . . . . 49Figura 27 – As duas saídas PWM geradas pelo circuito. . . . . . . . . . . . . . . . 49Figura 28 – Tensão diferencial entre os dois comparadores. . . . . . . . . . . . . . . 49Figura 29 – Circuito para geração de tempo morto simulado em LTSpice. . . . . . . 50Figura 30 – Saída do circuito RC em comparação com a entrada . . . . . . . . . . . 50Figura 31 – Saída do circuito gerador de tempo morto em comparação com a entrada. 50

Page 12: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Figura 32 – Foco no atraso gerado pelo circuito gerador de tempo morto. . . . . . . 51Figura 33 – Circuito Driver+Amplificador+Filtro simulado em LTSpice . . . . . . . 51Figura 34 – Circuito Driver+Amplificador simulado em LTSpice . . . . . . . . . . . 52Figura 35 – Atraso gerado na saída do amplificador . . . . . . . . . . . . . . . . . . 52Figura 36 – Diagrama de blocos da estrutura de controle apresentada em (NIEL-

SEN, 1998) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53Figura 37 – Equivalente de thevenin do circuito amplificador (NIELSEN, 1998) . . 54Figura 38 – Tabela de referência para escolha dos pólos e zeros dos compensadores

do sistema de controle. (NIELSEN, 1998) . . . . . . . . . . . . . . . . 56Figura 39 – Simulação do sistema de controle em MATLAB. Vemos em azul tra-

cejado o sistema em malha aberta, em vermelho o sistema+controle, eem verde e azul, os controles de tensão e corrente, respectivamente . . 57

Figura 40 – Circuito compensador utilizado para malha de controle . . . . . . . . . 57Figura 41 – Circuito de controle simulado em LTSpice . . . . . . . . . . . . . . . . 58Figura 42 – Simulação do circuito em malha fechada com malha de controle . . . . 59Figura 43 – Ajuste de fase para a frequência crítica f=20kHz . . . . . . . . . . . . 59Figura 44 – Análise de THD para a frequência de 200Hz . . . . . . . . . . . . . . . 59Figura 45 – Análise de THD para a frequência de 1000Hz . . . . . . . . . . . . . . 60Figura 46 – Análise de THD para a frequência de 20kHz . . . . . . . . . . . . . . . 60Figura 47 – Placa PCB criada no software Easy EDA . . . . . . . . . . . . . . . . . 61

Page 13: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Lista de abreviaturas e siglas

Hz Hertz, unidade de frequência

W Watt, unidade de potência

C Coulomb, unidade de carga

V Volt, unidade de tensão elétrica

A Ampére, unidade de corrente elétrica

Ω Ohm, unidade de resistência elétrica.

F Faraday, unidade de capacitância.

H Henry, unidade de indutância.

CI Circuito Integrado, referente a circuitos eletrônicos miniaturizados.

dB Decibel, unidade de medida de tensão ou potência elétrica referenciadaa uma outra de mesma natureza.

Page 14: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 15: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Lista de símbolos

𝑝 = 10−12 Pico, associado a unidades de grandeza no sistema internacional deunidade.

𝑛 = 10−9 Nano, associado a unidades de grandeza no sistema internacional deunidade.

𝜇 = 10−6 Micro, associado a unidades de grandeza no sistema internacional deunidade.

𝑚 = 10−3 Mili, associado a unidades de grandeza no sistema internacional deunidade.

𝑘 = 103 Kilo, associado a unidades de grandeza no sistema internacional deunidade.

M= 106 Mega, associado a unidades de grandeza no sistema internacional deunidade.

𝐺 = 109 Giga, associado a unidades de grandeza no sistema internacional deunidade.

Page 16: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 17: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

Sumário

1 INTRODUÇÃO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171.1 Breve Histórico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171.2 Motivação e objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

2 PESQUISA BIBLIOGRÁFICA . . . . . . . . . . . . . . . . . . . . . 192.1 Introdução à tecnologia dos amplificadores . . . . . . . . . . . . . . . 192.1.1 Exigências em um projeto de amplificador . . . . . . . . . . . . . . . . . . 192.1.2 Classes de Amplificadores . . . . . . . . . . . . . . . . . . . . . . . . . . . 212.2 Topologia do Amplificador . . . . . . . . . . . . . . . . . . . . . . . . 252.3 Transistores de Potência - MOSFETs . . . . . . . . . . . . . . . . . . 272.3.1 Circuito de drive dos MOSFETs . . . . . . . . . . . . . . . . . . . . . . . 282.4 Modulação PWM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282.4.1 Principais tipos de modulação de pulsos . . . . . . . . . . . . . . . . . . . 282.4.2 PWM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292.5 Filtro Passa-Baixa . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

3 METODOLOGIA . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393.1 Introdução . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393.2 Escolha dos componentes . . . . . . . . . . . . . . . . . . . . . . . . . 393.2.1 Gerador de Onda Triagular . . . . . . . . . . . . . . . . . . . . . . . . . . 403.2.2 Modulação PWM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423.2.3 Circuito Amplificador e alimentação . . . . . . . . . . . . . . . . . . . . . 433.2.4 Driver e Geração de Tempo Morto . . . . . . . . . . . . . . . . . . . . . . 443.2.5 Filtro Passa-Baixas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

4 RESULTADOS E DISCUSSÃO . . . . . . . . . . . . . . . . . . . . . 474.1 Simulações . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474.1.1 Gerador de onda Triangular . . . . . . . . . . . . . . . . . . . . . . . . . . 474.1.2 Circuito PWM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484.1.3 Geração de tempo morto . . . . . . . . . . . . . . . . . . . . . . . . . . . 484.1.4 Circuito Driver e Estágio de Amplificação . . . . . . . . . . . . . . . . . . 504.1.5 Sistema de controle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524.2 Placa PCB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

5 CONCLUSÕES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

Page 18: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

REFERÊNCIAS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

Page 19: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

17

1 Introdução

1.1 Breve HistóricoAmplificadores de áudio de potência são equipamentos com uma importância

econômica considerável. Com uma história que se estende desde a década de 1920, cen-tenas de milhares de equipamentos deste tipo são produzidos a cada ano(SELF, 2002).Essencialmente estes equipamentos são utilizados para se converter um sinal de áudio emnível de linha (com valores de tensão de aproximadamente 1V de pico). Em um sinal de po-tência, podendo-se elevar a tensão do sinal de entrada da forma adequada para o projeto.Para um amplificador de 300𝑊 , enviando sinal para um alto-falante com resistência de8Ω, devemos amplificar o sinal de saída afim de se obter um sinal com aproximadamente50V de pico.

Existem diversas topologias de circuitos amplificadores, porém todas elas tem comoprincípio a amplificação de um sinal de entrada através de um componente ativo, quenecessita de alimentação externa, como por exemplo as válvulas, transistores, e amplifi-cadores operacionais. Os primeiros dispositivos utilizados para este fim foram as válvulas.Criadas no inicio do século com o propósito principal de se amplificar sinais para a in-dústria de áudio e radio, estas eram muito grandes em volume e em peso, trabalhavamcom uma tensão muito elevada que pode chegar a até 600V e também em temperaturasaltíssimas, que podem chegar a centenas de graus Celsius. Esta condição de operaçãoda válvula gera harmônicos no sinal de saída. Apesar destes pontos negativos, audiófilosde todo o mundo têm preferência por este tipo de amplificador, pois acreditam que asharmônicas geradas por amplificadores valvulados, criam timbres que são impossíveis deserem recriados através de amplificadores transistorizados.

Com a popularização do transistor a partir da década de 1950, houve um forteavanço na tecnologia dos amplificadores, estes cada vez ficando menores e mais leves fi-sicamente, e cada vez mais eficientes. Os primeiros modelos foram criados utilizando-setransistores de germânio, no incio dos anos 1960 a preferência passou a ser pelos transis-tores de silício, porém estes dispositivos são muito vulneráveis a altas temperaturas, poiscom o aumento da temperatura, a resistência interna dos transistores diminui, gerandoum aumento na corrente parasita no interior da junção dos mesmos, que faz aumentarainda mais a temperatura, entrando num sistema cíclico onde ocorre realimentação autodestrutiva, a temperatura se eleva até que o componente queime. Este fenômeno é conhe-cido como Avalanche Térmica, ou Thermal Runaway. Portanto é muito importante tentareliminar ao máximo as perdas joule no transistor e também fazer o dimensionamentocorreto do dissipador de calor a ser utilizado.

Page 20: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

18 Capítulo 1. Introdução

Até então a preocupação com questões como distorções causadas pelo amplificadore a eficiência do equipamento não eram temas de grandes estudos ou preocupações. Com otempo a pesquisa em eficiência e distorção foi se desenvolvendo, diversos tipos de topologiade amplificadores foram criadas afim de se obter um dispositivo com o menor custo, menorpeso, menor distorção e com a maior eficiência possível.

Vinte anos anos mais tarde as atenções dos fabricantes se voltaram para a tecno-logia dos amplificadores chaveados, ou classe D, que se baseiam em modular o sinal deáudio afim de se aumentar a eficiência do amplificador.

Entre 1979 e 1985 apareceram amplificadores classe D profissionais de relativosucesso (PIRES, 2010), operando com frequências de comutação em torno dos 500kHze perdas toleráveis, apesar ainda da pobre resposta em frequência e diferente qualidadepara diferentes projetos.

Atualmente existem muitas propostas de topologias e componentes eletrônicos demelhor qualidade que possibilitam a utilização desta classe de operação.

1.2 Motivação e objetivosO presente Trabalho de Conclusão de Curso consiste no estudo, projeto, simulação

e implementação de um amplificador chaveado classe D a ser empregado na amplificaçãode sinais de áudio. Este trabalho foi inicialmente concebido como uma etapa de desenvol-vimento de um sistema de sonorização ativo comercial, onde o amplificador de potênciaserá embutido na caixa acústica, o que permite o desenvolvimento de um sistema maiscompacto e eficiente.

O amplificador a ser desenvolvido deverá reproduzir toda faixa audível, i.e., de20Hz a 20kHz, sendo que a transdução eletro-acústica será realizada por diferentes alto-falantes, estes dedicados às faixas de baixa, média e alta frequência, no entanto, o rotea-mento de tais faixas da saída do amplificador aos alto-falantes adequados será realizadapor intermédio de filtros de crossover, o que não será tratado explicitamente neste traba-lho. A potência assumida para o amplificador será de 750W.

Neste trabalho será realizada uma breve pesquisa bibliográfica introduzindo sobrea tecnologia de amplificadores afim de preparar o leitor para o entendimento do trabalho.Em seguida será apresentado o desenvolvimento do projeto, envolvendo todo o planeja-mento, simulação, construção, operação e testes do equipamento.

Page 21: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

19

2 Pesquisa Bibliográfica

2.1 Introdução à tecnologia dos amplificadoresAqui será realizada uma breve introdução sobre a tecnologia de amplificadores de

áudio, tendo em vista que é essencial o entendimento sobre o funcionamento das diver-sas classes de amplificadores, tais quais as preocupações que devemos ter em relação aoponto de operação e a eficiência do nosso circuito. Trataremos primeiramente de algu-mas exigências que devemos seguir no processo de desenvolvimento do circuito e depoisintroduziremos as classes de amplificadores mais utilizadas na indústria do áudio.

2.1.1 Exigências em um projeto de amplificador

Nesta seção trataremos de alguns pontos importantes que devemos atentar nodesenvolvimento do projeto do nosso circuito. Os pontos mais importantes são citadosabaixo(SELF, 2002):

(1) Segurança

(2) Durabilidade

(3) Potência de saída

(4) Resposta em frequência

(5) Nível de ruído

(6) Nível de distorção

(7) Resistência de saída

(8) Fase Absoluta

Como estamos trabalhando com amplificação de sinais, a linearidade do sistematorna-se um fator importante, tendo-se isto em vista, um valor importante para se medira qualidade do amplificador é a Distorção Harmônica Total (DHT) ou Total Har-monic Distortion (THD) por ele introduzida. A DHT é dada pela razão entre a raizquadrada da soma dos valores eficazes ao quadrado de cada harmônico individual, pelovalor eficaz da frequência fundamental, sendo esse valor apresentado em porcentagem,como definida no standart do IEEE (Institute of Electrical and Eletronics Engineers)(IEEE. . . , 1992) Para o áudio de potência, este fator torna-se importante, em sistemas de

Page 22: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

20 Capítulo 2. Pesquisa Bibliográfica

alta fidelidade onde a distorção harmônica total estiver abaixo de 1% tal distorção toma-se imperceptível.(HEERDT, 1997)

𝑇𝐻𝐷 =

√︁𝑉 2

𝑒𝑓2 + 𝑉 2𝑒𝑓3 + ... + 𝑉 2

𝑒𝑓𝑛

𝑉𝑒𝑓

* 100%

A equação representa o cálculo da Distorção Harmônica Total no sinal para osprimeiros n harmônicos.

A resposta em frequência do sistema depende do projeto, para um amplificadoronde deseja-se amplificar toda a faixa de frequências de áudio, 20𝐻𝑧 < 𝑓𝑎𝑢𝑑𝑖𝑜 < 20𝑘𝐻𝑧. énecessário se adequar o projeto para uma boa resposta nesta frequência. Em sistemas deáudio costuma-se dividir as frequências entre diferentes amplificadores, existem amplifi-cadores responsáveis por amplificar frequências sub-graves e graves, que correspondem asfrequências entre 20Hz e 120Hz. E amplificadores que ficam responsáveis por frequênciasmédias e agudas, entre 120Hz e 20kHz.

É importante também que o sistema tenha uma boa resposta em fase, para seter uma resposta sem distorção de fase na faixa de 20Hz a 20kHz a banda passante doamplificador deve ser de 2Hz a 200kHz, no mínimo, o que solicitaria uma frequência dechaveamento de ao menos 4MHz, como isso não é prático sem se lançar mão de uso detecnologias de semicondutores mais avançadas, o amplificador não vai apresentar estaresposta. Sempre haverá distorção de fase na região de alta frequência, ainda assim aintenção do projeto deve ser de se diminuir a distorção de fase ao mínimo possível.

Outra exigência, que se torna desafiadora no projeto é que ele forneça uma quanti-dade de potência exigida pela carga de modo eficiente. A eficiência (n) do amplificadortorna-se portanto extremamente importante. Isto implica que a potência dissipada noscomponentes de potência do estágio de saída deve ser a menor possível.

O rendimento da conversão de potência de um estágio de saída é definido como:

𝑛 = 𝑃𝐿

𝑃𝑆

Sendo 𝑃𝐿 e 𝑃𝑆 respectivamente a Potência de carga, e a potência da fonte.

Mesmo com eficiências altas a temperatura do transistor pode ser elevada a níveisque irão danificar o dispositivo. O controle térmico dos dispositivos semicondutores estárelacionado ao sistema de refrigeração (dissipador, ventilador, etc). A questão é que emsistemas pouco eficientes, há muita potência para ser tratada o que exige dissipadoresmaiores (com mais contato com o ar), ventilação forçada, etc, o que eleva o peso, volume ecusto do circuito. Em sistemas mais eficientes, o sistema de refrigeração é menor, reduzindocusto, volume e peso.

Page 23: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.1. Introdução à tecnologia dos amplificadores 21

2.1.2 Classes de Amplificadores

Para um melhor entendimento sobre o funcionamento dos circuitos amplificadores,introduziremos sobre as diversas topologias utilizadas para a amplificação de um sinal deentrada. Mais informações sobre este assunto podem ser encontradas no capítulo 14 dolivro Microeletrônica(SMITH, 2000), utilizado como referência para esta seção.

As topologias são divididas em classes de acordo com o seu tipo de funcionamento.Os tipos mais utilizados são:

∙ Classe A

Os amplificadores classe A são caracterizados por possuírem um estágio de potênciaque apresenta um transistor polarizado na região linear durante toda excursão dosinal de saída, ou seja, o dispositivo de potência conduz por 360∘do sinal de saída.Com isso o amplificador apresenta baixíssima DHT, contudo, sua eficiência ficaentorno de 20% a 25%. A figura 1, ilustra os estágio de potência desta classe deamplificador, onde o transistor Q2 atua como um fonte de corrente que polariza otransistor de saída Q1. Neste caso a corrente conduzida por Q2 deve ser maior doque o valor de pico da corrente de carga.

Figura 1 – Circuito Seguidor de emissor utilizado como amplificador classe A

∙ Classe B

O amplificador classe B consiste na utilização de dois transistores complementares,um npn(𝑄𝑁), e outro pnp(𝑄𝑃 ), como mostra a figura 2, de forma que cada umdos dispositivos seja responsável por conduzir 180∘do ciclo da onda. Porém, quando𝑉𝐼 = 0 nenhum dos dois transistores estão conduzindo, pois não há tensão suficiente

Page 24: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

22 Capítulo 2. Pesquisa Bibliográfica

para polarizar nenhum dos dois transistores. Para um transistor BJT, a tensãomínima para condução é de aproximadamente 𝑉𝐵𝐸 = 0, 7𝑉 . Isto significa que oamplificador só conduzirá o sinal de entrada para 𝑉𝐼 < −0, 7𝑉 e 𝑉𝐼 > 0, 7𝑉 Isto gerauma distorção chamada de distorção de cruzamento (ou de crossover). Aumentandosignificativamente a DHT do sistema. Para este circuito, o nível de DHT dependerádo nível do sinal do estágio de potência, se o sinal tiver amplitude suficiente para quea distorção de crossover seja desprezível, é possível utilizar esta topologia. Porémpara sinais de áudio esta não é uma boa opção.

A eficiência máxima para um amplificador do tipo B é bem melhor do que a mesmapara um tipo A. Porém este é um caso idealizado onde a distorção de cruzamentopode ser pode ser desprezada, naturalmente estes amplificadores trabalham comuma eficiência da ordem de 50%.

Figura 2 – Circuito típico de um amplificador Classe B simulado em Spice

∙ Classe AB

O amplificador de classe AB tem como propósito a eliminação da distorção decruzamento através de uma pequena polarização (corrente diferente de zero) dostransistores de saída. Uma tensão de polarização 𝑉𝐵𝐵 é aplicada entre as bases de𝑄𝑁 e 𝑄𝑃 . Para 𝑉𝐼 = 0𝑒𝑉𝑂 = 0, uma tensão 𝑉𝐵𝐵

2 aparece na junção base-emissor decada transistor. Esta tensão de polarização pode ser gerada de várias maneiras, nafigura 3 é mostrada uma topologia utilizando diodos, nesta topologia uma correntede polarização é utilizada para gerar uma tensão nos diodos. Quando os diodos estáconduzindo a queda de tensão entre seus terminais torna-se suficiente para polarizaros transistores, eliminando a distorção de crossover.

Page 25: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.1. Introdução à tecnologia dos amplificadores 23

A eficiência prática do Classe AB é inferior a 50%

Figura 3 – Amplificador Classe AB utilizando polarização por diodos

∙ Classe D

O Amplificador de classe D, diferente dos vistos anteriormente não opera de formalinear, mas sim de forma chaveada, utilizando uma modulação por largura depulso, ou PWM(Pulse Widht Modulation). O sinal de entrada é primeiramentecomparado ao sinal de uma portadora de frequência elevada, geralmente com formade onda triangular ou dente de serra. Se o valor de amplitude da entrada for maiordo que o da portadora, o comparador retorna um valor positivo de tensão, casocontrário, retorna um valor nulo de tensão. O resultado é um trem de pulsos comlargura variável, onde a largura dos pulsos é proporcional à amplitude do sinal. Decerta forma podemos dizer que há uma discretização do som, este devendo obedecerao critério de Nyquist onde a frequência da portadora deve ser pelo menos o do-bro da frequência máxima desejada. Ou 𝑓𝐶 > 2𝑓𝑀𝑎𝑥. Na prática utilizamos valoresde frequência muito elevadas, da ordem de dez vezes a frequência máxima dese-jada, para áudio de fidelidade, deseja-se reproduzir até 20kHz, para tal utilizamosfrequências da portadora de 200kHz a 400kHz.

Os transistores de saída funcionam como chaves, atuando ou na região de saturação,ou na região de corte, isto faz com que esta topologia de amplificador seja muitoeficiente, a eficiência teórica da topologia classe D é 𝑛 = 100%. Necessitamos ainda,filtrar o sinal de saída, afim de eliminar as frequências geradas pelo sinal PWM e

Page 26: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

24 Capítulo 2. Pesquisa Bibliográfica

Figura 4 – Amplificador Classe D sem realimentação (FOONG; TAN, 2007)

recuperar o sinal original com o mínimo de THD possível. A figura 4 (FOONG;TAN, 2007) mostra um circuito típico classe D, dividido em 3 blocos.

(1) Modulador PWM (Pulse Widht Modulator):Este circuito é constituido de um gerador de alta frequência e de um compa-rador. na saída deste bloco temos o nosso sinal PWM retirado do nosso sinalde entrada

(2) Estágio de saída classe D(Class D Output Stage):Vê-se nesse estágio uma série de amplificadores classe B utilizando transistoresMOSFET, também conhecidos como inversores. Eles são alimentados com comtensões 𝑉𝐶𝐶 e GND, para atuar como chaves. É utilizada uma cadeia de inver-sores para gerar um ganho de corrente suficientemente grande para alimentara carga com potência.

(3) Filtro Passa Baixa (Low Pass Filter)

Um circuito simples contendo um indutor e um capacitor ligados em série,ajustados para filtrar as altas frequências geradas pelo sinal PWM. Vê-se nocircuito que a nossa carga(alto-falante) deve ser conectada em paralelo com ocapacitor.

Os dispositivos de saída devem suportar altas frequências, os transistores de topo-logia MOSFET são os mais indicados para este tipo de circuito pois conseguemtrabalhar em frequências mais elevadas.

Por trabalhar em alta frequência devemos nos atentar a Interferência eletromagné-tica, ou a EMI (Eletromagnetic Interference). Nosso circuito deve ser projetadopara que não receba nem gere interferência eletromagnética, essa se torna maior paraaltas frequências com alta potência.

Page 27: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.2. Topologia do Amplificador 25

Como dito anteriormente a eficiência teórica do nosso amplificador é 𝑛 = 100%.Porém isto não acontece devido a mecanismos de perdas existentes no circuito am-plificador, e.g., perdas de condução e chaveamento nos transistores, perdas ôhmicasnos capacitores e indutores, perdas por histerese e foucault nos núcleos ferromagné-ticos dos indutores de filtro, etc. Na prática a eficiência de um amplificador ClasseD é da ordem de 𝑛 = 90%. O que já é um avanço significativo se comparado comos outros amplificadores vistos anteriormente. Existem bons resultados de eficiênciaem projetos de alto nível, chegando a até 𝑛 = 96%.

Existem outros tipos de circuitos amplificadores como as classes F G, e H, ouainda outras que envolvem uma hibridização entre dois ou mais tipos dos amplificadorescitados. Porém não trataremos destes neste trabalho.

Durante muitos anos a classe AB foi a preferida da indústria de potência paraáudio. Porém com o avanço da tecnologia, a topologia classe D foi se tornando maiseconomicamente viável e hoje vê-se que grande parte dos fabricantes de amplificadoresestão optando por projetos utilizando Classe D.

Outra vantagem associada a esse tipo de amplificador é que como a dissipação depotência é baixa nos transistores, tem-se uma menor dissipação de calor nos mesmos. Paracircuitos lineares como a classe AB, o transistor de saída dissipa grande parte da energiaem calor, tornando-se necessária a utilização de dissipadores de calor, para circuitos degrande potência estes dissipadores costumam ser grandes e pesados. Em amplificadoresclasse D pode-se trabalhar em potências relativamente altas utilizando dissipadores demenor tamanho, ou até fitas térmicas dissipadoras, diminuindo consideravelmente o pesofísico do amplificador.

2.2 Topologia do AmplificadorNo início do desenvolvimento do projeto de um amplificador classe D, um dos pri-

meiros passos a se tomar é a escolha da topologia a ser usada. Essa decisão irá influenciara posterior escolha dos restantes componentes constituintes do amplificador. Basicamenteexistem dois tipos de topologias a serem aplicadas em amplificadores classe D:

∙ A topologia Meia ponte

∙ A topologia Ponte completa

A topologia de meia ponte, apresentada na Figura 5 , é mais simples do que atopologia de ponte completa, ilustrada na Figura 6. Ela é composta por apenas duaschaves e pode ser alimentada seja de forma unipolar ou bipolar. No caso da alimentação

Page 28: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

26 Capítulo 2. Pesquisa Bibliográfica

Figura 5 – Topologia Meia-Ponte (PIRES, 2010)

de forma unipolar verifica-se aparecimento de uma tensão DC constante na saída,que trazum gasto desnecessário de energia. A topologia de ponte completa, por sua vez, é compostapor 4 interruptores dispostos dois a dois em cada braço, podendo também ser alimentadade forma bipolar ou unipolar. O número de chaves a serem usadas na topologia escolhidatem implicações no número de dissipadores assim como no número de drivers necessáriosao circuito. Por este lado o uso da meia ponte tem a vantagem de necessitar de menoscomponentes quando comparada com a ponte completa. Por outro lado se não for bemprojetada pode acontecer o fenômeno de bus pumping, que acontece quando uma cargaindutiva devolve a sua energia armazenada à fonte de alimentação, o que não acontece nocaso da ponte completa.

Além disso a topologia de ponte completa ainda possui a vantagem de se conseguirobter de seus terminais de saída o dobro da tensão fornecida pela fonte de alimentação.Isso significa que se consegue fornecer quatro vezes mais potência utilizando a mesmafonte, já que 𝑃 = 𝑉𝑒𝑓2

𝑍𝐿

Page 29: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.3. Transistores de Potência - MOSFETs 27

Figura 6 – Topologia Ponte Completa (PIRES, 2010)

2.3 Transistores de Potência - MOSFETs

Na escolha dos transistores a serem utilizados, deve-se ter como requisitos princi-pais:

∙ a tensão mínima que têm de se aplicar aos seus terminais, 𝐵𝑉𝐷𝑆𝑆,

∙ a resistência que apresentam quando em condução, 𝑅𝑜𝑛,

∙ a quantidade de corrente máxima associada,𝐼𝑒𝑓

∙ aos tempos de comutação para a frequência pretendida.

o MOSFET deve apresentar tensão de bloqueio ao menos duas vezes superior àtensão do barramento c.c. para poder acomodar eventuais sobretensões provocadas porderivadas de tensão induzidas pelo chaveamento de laços indutivos no circuito de potência,sem que isso destrua os dispositivos. Também deve possuir uma corrente de conduçãosuperior à máxima corrente a ser conduzida na indutor de saída, baixa resistência decondução para reduzir perdas de condução e baixos tempos de condução para reduzirperdas de comutação.

Após a escolha do MOSFET, em tensão e corrente, calcula-se a corrente mínimaque necessária de se fornecer à porta de modo a que se consiga carregar as capacidades

Page 30: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

28 Capítulo 2. Pesquisa Bibliográfica

internas no menor tempo possível fazendo com que o dispositivo comute à velocidademáxima.

2.3.1 Circuito de drive dos MOSFETs

Escolhido o MOSFET e tendo-se o conhecimento da corrente de gate e do tempomínimo de comutação do dispositivo, devemos escolher o driver de MOSFET mais ade-quado para o caso. O drive deve fornecer corrente necessária para carregar as capacitânciasinternas do MOSFET, no tempo máximo pretendido, e deve ainda ser capaz de dissipara energia necessária sem comprometer sua integridade física, é importante também que odriver escolhido tenha velocidade suficiente para fornecer as correntes no Gate, dentro dointervalo de tempo estipulado.

2.4 Modulação PWM

2.4.1 Principais tipos de modulação de pulsos

Uma mensagem modulada por pulsos representa uma mensagem ou sinal por umtrem de pulsos. Os quatro tipos básicos de modulação por pulsos são(NIELSEN, 1998):

∙ PAM - Pulse Amplitude Modulation (Modulação por amplitude de pulso)

∙ PWM - Pulse Width Modulation (Modulação por largura de pulso)

∙ PPM - Pulse Position Modulation (Modulação por posição de pulsos)

∙ PDM - Pulse Density Modulation (Modulação por densidade de pulsos)

A figura 7 ilustra estes quatro tipos de modulação. A modulação PAM é baseadana conversão do sinal em uma série de pulsos modulados em amplitude. Para este amplifi-cador a largura de banda do sinal é dado pelo critério de Nyquist, então o sinal moduladopode ser representado por uma portadora maior ou igual a duas vezes a largura de bandado sinal, isso se torna atraente para este tipo de modulação pois é possível minimizar afrequência da portadora e consequentemente minimizar também a dissipação de potênciano circuito de chaveamento. O problema deste modelo é que o PAM é limitado quandose trata de precisão, desta forma torna-se difícil utilizar uma modulação PAM para sinaisde potência em alta frequência, com precisão suficiente para obter um bom resultado.

A modulação PWM é substancialmente diferente da modulação PAM, já que elase baseia em amostragem no tempo, enquanto a modulação PAM se baseia em amostragensde amplitude. Consequentemente a informação é codificada em pulsos com largura variadadentro de cada intervalo de comutação. A frequência da portadora para o PWM deve ser

Page 31: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.4. Modulação PWM 29

Figura 7 – Os quatro tipos de modulações, PAM, PPM, PWM, PDM (NIELSEN, 1998)

maior do que a utilizada na modulação PAM, da ordem de 10 vezes a largura de bandado sinal. Porém a utilização desta simplifica os circuitos de potência e da fonte, além deentregar o sinal com precisão na demodulação.

A modulação PPM é similar a modulação PWM, porém para esta uma variação nosinal gera uma variação na posição de cada pulso dentro do tempo de comutação. Porémeste método requer que a fonte e o circuito de saída operem em tensões muito elevadasem relação a tensão da carga, resultando em resultados não esperados com relação aeficiência, complexidade, e performance sonora.

A modulação PDM é baseada em uma unidade de pulso com largura e amplitudefixos. O parâmetro modulado é a densidade dos pulsos. Para este método, o sinal moduladopossui largura de banda excessivamente grande, o que não é desejado para o nosso projeto.

Escolhemos então a modulação PWM para o nosso projeto.

2.4.2 PWM

A modulação PWM, como já foi dito, utiliza-se de uma modulação por largurade pulsos, o método para a modulação envolve uma onda portadora de alta frequência,que é comparada com o sinal de entrada, quando a amplitude do sinal for maior do quea amplitude da portadora, o sinal modulado tem o valor 1. Para o caso contrário, a saídatem o valor 0. A figura 8 representa a modulação PWM utilizando onda triangular(rampadupla).

Iremos aqui estudar os quatro tipos de possíveis modulações PWM introduzidos

Page 32: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

30 Capítulo 2. Pesquisa Bibliográfica

Figura 8 – Exemplo de funcionamento da modulação PWM

em (NIELSEN, 1998). Primeiramente os tipos de circuito se dividem em PWM Natural(NPWM) e PWM Uniforme (UPWM), sendo o primeiro para uma referência natural, ousinal analógico, e o segundo para um sinal quantizado e uniforme durante um período decomutação, que pode ser um sinal digital(mas não necessariamente). Portanto focaremosnos circuitos NPWM. A onda portadora pode ser escolhida como sendo de rampa única(SS - Single Sided) ou rampa dupla (DS - Double Sided). A informação do sinal modu-lado dobra utilizando a configuração com rampa dupla (DS). As classes AD e BD sãoabreviações para se diferenciar a modulação entre PWM de dois níveis ou PWM de trêsníveis, respectivamente. A modulação de dois níveis(AD) utiliza apenas um comparadorpara gerar ao sinal PWM, já a modulação de três níveis(BD) utiliza dois comparadores,um com o sinal de entrada em fase, e o outro com o sinal de entrada com fase invertida.Utilizando-se da tensão diferencial entre os dois sinais, obtemos um PWM de 3 níveis (1,0 e -1).

Para facilitar o entendimento utilizaremos de uma abreviação para cada tipo, estaabreviação é dada da seguinte maneira:

(Tipo de referência)(Tipo de rampa)(Quantidade de níveis)

As tabela da figura 9 demonstra os tipos de modulação PWM apresentadas por(NIELSEN, 1998).

As figuras 10, 11, 12, e 13 Mostram respectivamente o funcionamento de cada umdos circuitos de PWM: NADS, NBDS, NADD e NBDD.

Podemos ver que nas modulações de 3 níveis (NBDS e NBDD) a saída diferencialentre os dois comparadores possui uma informação com 3 níveis de sinal (1,0 e -1).

Page 33: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.4. Modulação PWM 31

Figura 9 – Tipos de modulação PWM possíveis

Figura 10 – Funcionamento da Modulação PWM NADS(NIELSEN, 1998)

As figuras 14, 15, 16, e 17 Mostram respectivamente a resposta em frequênciafuncionamento de cada um dos circuitos de: NADS, NBDS, NADD e NBDD.

É possível verificar-se na modulação NADS o sinal é replicado no entorno dafrequência da portadora, com adição de alguns harmônicos, além de uma componentede amplitude elevada em (1 + 2𝑘) * 𝑓𝑐; (𝑘 = 0, 1, 2, 3...). Para a modulação NBDS vê-seque o sinal é replicado no entorno da frequência central, neste caso sem a componenteem 𝑘 * 𝑓𝑐, e sem adição de harmônicos. Na modulação NADD, vê-se que em (1 + 2𝑘) *𝑓𝑐 há uma componente de alto valor porém há menos informação nesta região, o quefacilita para a demodulação. E finalmente na modulação NBDD vê-se que o sinal sópossui informação no entorno das frequências 2𝑘 * 𝑓𝑐, o que significa que utilizando estetipo de modulação, pode-se reduzir a frequência da portadora pela metade, e ainda obtero mesmo nível de qualidade do sinal demodulado. Como estamos trabalhando com sinaisde áudio, que possuem largura de banda de 𝐵𝑊 = 20𝑘𝐻𝑧, um circuito PWM de doisníveis requereria uma frequência de portadora aproximadamente 20 vezes maior do que

Page 34: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

32 Capítulo 2. Pesquisa Bibliográfica

Figura 11 – Funcionamento da Modulação PWM NBDS(NIELSEN, 1998)

Figura 12 – Funcionamento da Modulação PWM NADD(NIELSEN, 1998)

Page 35: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.4. Modulação PWM 33

Figura 13 – Funcionamento da Modulação PWM NBDD(NIELSEN, 1998)

BW. Ou seja 𝑓𝑐2𝑛𝑖𝑣𝑒𝑖𝑠 = 400𝑘𝐻𝑧. Utilizando a modulação NBDD pode-se reduzir estafrequência pela metade tendo assim 𝑓𝑐𝑁𝐵𝐷𝐷 = 200𝑘𝐻𝑧, o que para o projeto será ótimo,pois quanto maior a frequência da onda triangular portadora, mais difícil é de se projetarum circuito gerador de sinais que seja preciso.

Foi optado pela topologia NBDD para seguir com o circuito, como está se utilizandouma modulação de 3 níveis, faz-se necessário utilizar a topologia em Ponte Completa parao amplificador.

Um parâmetro muito importante a ser analisado para qualquer tipo de moduladoré o índice de modulação (am) do mesmo. Para uma modulação PWM, a taxa demodulação é dada por:

𝑎𝑚 = 𝑉𝑃 𝑒𝑛𝑡𝑟𝑎𝑑𝑎

𝑉𝑃 𝑝𝑜𝑟𝑡𝑎𝑑𝑜𝑟𝑎

Onde 𝑉𝑃 𝑒𝑛𝑡𝑟𝑎𝑑𝑎 é a tensão de pico do sinal de entrada e 𝑉𝑃 𝑝𝑜𝑟𝑡𝑎𝑑𝑜𝑟𝑎 é a tensão depico da onda portadora.

Page 36: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

34 Capítulo 2. Pesquisa Bibliográfica

Figura 14 – Gráfico de resposta em frequência da modulação PWM NADS (Com índicede modulação 𝑀 = −40𝑑𝐵), e gráfico de resposta em frequência com relaçãoao índice de modulação (𝑓𝑥𝑀)(NIELSEN, 1998)

Page 37: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.4. Modulação PWM 35

Figura 15 – Resposta em frequência da Modulação PWM NBDS (NIELSEN, 1998)

Page 38: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

36 Capítulo 2. Pesquisa Bibliográfica

Figura 16 – Resposta em frequência da Modulação PWM NADD(NIELSEN, 1998)

Page 39: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

2.4. Modulação PWM 37

Figura 17 – Resposta em frequência da Modulação PWM NBDD(NIELSEN, 1998)

Page 40: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

38 Capítulo 2. Pesquisa Bibliográfica

2.5 Filtro Passa-BaixaO filtro passa-baixas é utilizado no circuito para a demodulação do sinal PWM, a

principal função do filtro é agir como uma indutância à frequência de comutação. Destaforma, ele impede que a corrente oscile com a tensão que varia na frequência da portadora,limitando a corrente que é fornecida pela fonte ao amplificador, e também ajudando adiminuir as interferências eletromagnéticas.

Será utilizado um filtro Butterworth passa-baixas de segunda ordem, pois esteapresenta uma resposta plana na banda de passagem e uma atenuação de 40dB/Décadana banda de transição.

Figura 18 – Filtro Passa-Baixas Butterworth de 2a Ordem(PIRES, 2010)

Page 41: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

39

3 Metodologia

3.1 Introdução

O nosso projeto de amplificador consistirá na construção de um amplificador depotência da topologia Classe D. Devemos projetar o circuito em partes:

∙ Circuito Gerador de Onda Triangular.

∙ Circuito de Modulação PWM

∙ Circuito de Driver e Geração de Tempo Morto.

∙ Circuito de Amplificação

∙ Circuito Filtro Passa-Baixas

∙ Circuito Controlador

Devemos projetar adequadamente cada um destes circuitos e garantir o casamentode impedâncias de saída e de entrada de cada circuito para conectá-los de forma corretasem que ocorram perdas de energia indesejadas.

Também devemos projetar nossa caixa acústica, onde será colocado o nosso alto-falante, afim de obter uma melhor resposta em potência e dissipação sonora, tendo emvista que a estrutura da caixa acústica atua como um filtro passa-faixa, que deve sermodelado e simulado. Uma boa modelagem de caixa acústica é fundamental para o fun-cionamento perfeito do nosso sistema. Mesmo se o amplificador tiver uma boa respostaem frequência, se a caixa acústica não for bem projetada, não teremos reprodução fiel daentrada. O alto-falante em si possui uma eficiência muito baixa, com um bom projeto épossível além de elevar a eficiência do sistema, amplificar e ajudar na dispersão do sinalpara uma melhor experiencia sonora. Esta parte do projeto não é escopo deste trabalhomas é de interesse uma maior imersão neste assunto em trabalhos futuros.

3.2 Escolha dos componentes

Nesta sessão entraremos com mais detalhes nas topologias dos circuitos utilizados,e também decidiremos quais componentes serão mais adequados para cada caso.

Page 42: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

40 Capítulo 3. Metodologia

3.2.1 Gerador de Onda Triagular

Para minimizar as distorções causadas pela modulação PWM torna-se importanteter uma onda triangular com a maior linearidade e estabilidade possível. A topologia es-colhida para o gerador encontra-se na figura 19. O amplificador operacional à esquerda nocircuito se comporta como um comparador de histerese inversor, e o segundo amplificadorcomo um integrador não inversor. A medida que o capacitor é carregado ou descarregado,sua tensão é comparada a tensão 𝛽𝑉𝑧 sendo que 𝑉𝑍 é a tensão dos zeners e 𝛽 é definidopela relação entre os resistores 𝑅1𝑒𝑅2.

Geralmente a frequência onda triangular deve ser da ordem de 20 vezes o valor dalargura de banda do sinal. Como estamos utilizando a modulação PWM NBDD podemosfazer com que esta frequência seja de 10𝐵𝑊 = 200𝑘𝐻𝑧. Também desejamos um sinalcom amplitude de 3𝑉𝑝𝑖𝑐𝑜, para garantir que não tenhamos um índice de modulação m=1.

A equação do integrador é:

𝑉𝑐(𝑡) = 1𝑅5𝐶

∫︁ 𝑡

− inf𝑉𝑖(𝑡)𝑑𝑡

Desde que : 𝑅6𝑅5

= 𝑅4𝑅3

Para o comparador de histerese temos que:

𝛽 = 𝑅1

𝑅1 + 𝑅2

Utilizaremos diodos Zener de 4.7V para referência.

A partir da equação da tensão no capacitor podemos estipular o período de osci-lação do circuito

𝑉𝐶(𝑇1) = 𝑉𝑐(0)𝑒−𝑇1𝑅𝐶 + 𝑉0(1 − 𝑒

−𝑇1𝑅𝐶 )

𝑉𝑐(𝑇1) = 𝛽𝐿+ = 𝛽𝐿−𝑒−𝑇1𝑅𝐶 + 𝐿+(1 − 𝑒

−𝑇1𝑅𝐶 )

Isolando 𝑇1

𝑇1 = 𝑅𝐶 · 𝑙𝑛1 − 𝛽(𝐿+/𝐿−)

1 − 𝛽

𝑇 = 2𝑅𝐶 · 𝑙𝑛1 + 𝛽

1 − 𝛽

Queremos 𝑇 = 1𝑓𝑐

= 5𝑢𝑠, escolhemos arbitrariamente os valores para 𝑅5𝑒𝐶

Page 43: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

3.2. Escolha dos componentes 41

Figura 19 – Circuito gerador de onda triangular, envolvendo um comparador com histe-rese e um integrador(SMITH, 2000)

𝑅5 = 2, 2𝑘Ω

𝐶 = 1𝑛𝐹

Para estes valores teremos:

𝛽 = 0, 4545 = 𝑅1

𝑅1 + 𝑅2

Podemos dizer então que o comparador irá comutar nas tensões ±𝛽𝑉𝑧 = ±4, 7 ·0.4545 ≃ ±2, 2𝑉

Escolhendo arbitrariamente 𝑅1 = 1𝑘Ω teremos:

𝑅2 = 1, 17𝑘Ω

Escolhemos o valor comercial mais próximo, portanto 𝑅2 = 1, 2𝑘Ω.

Vemos também que o circuito integrador comporta-se como um amplificador nãoinversor, com ganho 𝑉𝑂

𝑉𝑐𝑃 𝑖𝑐𝑜= 1 + 𝑅4

𝑅3. Onde 𝑉𝑐𝑃 𝑖𝑐𝑜 tem amplitude igual a

𝑉𝑐𝑃 𝑖𝑐𝑜 = ±𝛽 · 𝑉𝑍 = ±2, 2𝑉

Escolhendo 𝑅3 = 𝑅5 = 2, 2𝑘Ω e 𝑅4 = 𝑅6 = 1𝑘Ω, desta forma, temos que a saídaterá amplitude de:

𝑉𝑂 = (1 + 1𝑘Ω2, 2𝑘Ω) * 𝑉𝑐𝑃 𝑖𝑐𝑜 = ±3.2𝑉

Page 44: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

42 Capítulo 3. Metodologia

Os amplificadores operacionais devem ser escolhidos baseados em sua frequênciamáxima de operação devido a Slew Rate, o comparador deve ser rápido o suficiente paraque não haja imperfeições tais como distorção. Escolhemos para o comparador o CI LM311e para o integrador o CI LM318,que possui BW de 15MHz e Slew Rate de 50V/us, ambosda empresa Texas Instruments.

3.2.2 Modulação PWM

O circuito a ser utilizado para a modulação PWM deve envolver dois comparadores,e um inversor de fase, como mostra a figura 25. Cada comparador tem como entrada osinal de áudio e o sinal da portadora triangular. Porém um deles recebe o sinal de áudiocom fase invertida, para termos uma modulação PWM NBDD.

O comparador a ser utilizado deve ser suficientemente rápido para conseguir geraruma onda quadrada na frequência desejada, 200kHz e, ao mesmo tempo, encontrar umamplificador operacional que tivesse largura de banda suficiente para realizar a integraçãoda onda quadrada gerando assim uma onda triangular. O comparador escolhido foi ocomparador da Texas Instruments LM311 que possui:

∙ taxa de variação (Slew Rate) de 4, 5𝑢𝑉/𝑠;

∙ possui tempos de subida (𝑡𝑟) e descida (𝑡𝑓 ) de aproximadamente 50𝑛𝑠

Figura 20 – Circuito para modulação PWM envolvendo dois comparadores e um inversorde fase

Page 45: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

3.2. Escolha dos componentes 43

3.2.3 Circuito Amplificador e alimentação

A topologia escolhida para o amplificador foi a topologia de ponte completa, tendoem vista que a utilização da modulação PWM NBDD necessita da utilização dos 4 MOS-FET‘s para funcionar corretamente. Fora isso a topologia de ponte completa evita osefeitos de bass pumping que pode acontecer na topologia de meia-ponte.

A seguir apresentamos os cálculos da tensão de alimentação, que tem de ser apli-cada à ponte completa, para se obterem os 750𝑊 de potência, numa carga com impedâncianominal de 2𝜔, com índice de modulação 𝑎𝑚 = 0, 9.

𝑃 =𝑉 2

𝑒𝑓

𝑅

𝑃 · 𝑅 = 𝑉 2𝑒𝑓

𝑃 · 𝑅 = ( 𝑉√2

)2

𝑉 =√

2 · 𝑃 · 𝑅

𝑉 = 𝑉𝑓𝑜𝑛𝑡𝑒 · 𝑎𝑚

√2 · 𝑃 · 𝑅

𝑎𝑚= 𝑉𝑓𝑜𝑛𝑡𝑒

Em que 𝑃 é a potência fornecida à carga, 𝑉𝑒𝑓 é a tensão eficaz aplicada a carga,𝑅 é a impedância do alto falante, 𝑉𝑓𝑜𝑛𝑡𝑒 é a tensão da alimentação e 𝑎𝑚 é o índice demodulação.

√2 · 750 · 2

0.9 = 60, 85𝑉 𝑜𝑙𝑡𝑠

Logo a tensão de alimentação foi escolhida como sendo 60𝑉 𝑜𝑙𝑡𝑠

Cálculo da corrente máxima:

𝑃 = 𝑅 · 𝐼2𝑒𝑓

𝐼𝑒𝑓 =√︃

𝑃

𝑅

Page 46: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

44 Capítulo 3. Metodologia

𝐼 =√

2 · 𝐼𝑒𝑓

√︃750 · 2

2 = 27, 38𝐴𝑚𝑝𝑒𝑟𝑒𝑠

Em que 𝐼𝑒𝑓 é o valor eficaz da corrente e 𝐼 é o valor de pico da amplitude dacorrente que circula na ponte.

A corrente máxima que pode percorrer os transistores é de aproximadamente30𝐴𝑚𝑝𝑒𝑟𝑒𝑠.

Escolhemos o MOSFET IRFP260N da empresa Infineon Technologies que possui:

∙ 𝑉 𝐵𝐷𝑆𝑆 = 200𝑉

∙ 𝑅𝐷𝑆 = 40𝑚Ω

∙ 𝐼𝐷 = 50𝐴

∙ 𝑄𝐺 = 234𝑛𝐶

∙ Tempos de subida e descida(𝑇𝑟𝑒𝑇𝑓 ) de aproximadamente 50𝑛𝑠

3.2.4 Driver e Geração de Tempo Morto

Após a geração da onda PWM é necessário se gerar um tempo morto entre ochaveamento, pois se as duas chaves de um braço se desligarem teremos um curto-circuitoentre VCC e terra, causando uma avalanche de corrente. O circuito para geração detempo morto encontra-se na figura 21, o circuito tem como intuito a utilização do circuitoRC para obter um pequeno atraso no momento em que o circuito comuta para positivo,carregando o capacitor. O diodo encontra-se polarizado para que o capacitor descarreguerapidamente no momento de comutação negativa. Na entrada do circuito também há umgrampeador para garantir.

Para este circuito, o tempo de resposta do circuito RC é:

𝜏 = 𝑅 · 𝐶

Onde 𝜏 é o tempo necessário para que o capacitor se carregue com 62% da tensãofornecida.Para tal 𝜏 = 200𝑛𝑠.

𝜏 = 200𝑛𝑠 = 𝑅 · 𝐶

Page 47: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

3.2. Escolha dos componentes 45

Figura 21 – Circuito gerador de tempo morto.

Escolhemos :𝑅 = 200Ω

𝐶 = 1𝑛𝐹

A escolha do circuito de driver deve vir com o conhecimento da corrente de gatee do tempo mínimo de comutação do dispositivo MOSFET da saída. Para o circuito dedriver escolhemos o CI IR2110

3.2.5 Filtro Passa-Baixas

O filtro a ser utilizado será um filtro LC passivo de segunda ordem, com umaresposta plana na banda de passagem e uma atenuação de -40dB/década na banda detransição. calculamos os valores das indutâncias e capacitâncias constituintes do filtropara uma frequência de corte (𝑓𝑐) de 30𝑘𝐻𝑧 utilizando as seguintes equações:

𝐹 (𝑠) =1

𝐿𝐶

𝑠2 + ( 1𝑅𝐶

)𝑠 + 1/𝐿𝐶

Onde 𝜔𝑜 =√︁

1𝐿𝐶

, e 𝑄 = 𝑅𝐶√𝐿𝐶

.

Queremos 𝜔𝑜 = 2𝜋 * 30𝑘𝐻𝑧 = 188.5𝑘𝑟𝑎𝑑/𝑠

Para o projeto desejamos um fator de qualidade 𝑄 = 1√3

𝑄 = 1√3

= 𝑅𝐶√𝐿𝐶

.

√3𝑅𝐶 =

√𝐿𝐶

𝑅 = 2Ω

Page 48: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

46 Capítulo 3. Metodologia

3𝑅2𝐶2 = 𝐿𝐶

12𝐶 = 𝐿

Escolhemos:𝐶 = 𝐶1,2

2 = 10𝑢𝐹

𝐿 = 2𝐿1,2 = 120𝑢𝐻

De forma que 𝜔𝑜 =√︁

1𝐿𝐶

= 192.5𝑘𝑟𝑎𝑑/𝑠 e 𝑓 = 𝜔𝑜

2𝜋= 30.46𝑘𝐻𝑧 Finalmente:

𝐿1,2 = 9.1𝑢𝐻

𝐶1,2 = 3𝑢𝐹

Page 49: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

47

4 Resultados e Discussão

4.1 Simulações

Após a escolha dos componentes do circuito, o próximo passo é a simulação docircuito, faremos a mesma por partes. Todas as simulações de circuitos foram feitas utili-zando o software LTSpice, da empresa Linear Technology

4.1.1 Gerador de onda Triangular

O circuito gerador de onda triangular foi simulado com os componentes calculadosna sessão 3.2.1. A figura 19 mostra o circuito montado com seus devidos valores de com-ponentes. Não foi encontrado um modelo para o comparador LM311, então foi utilizadoum modelo compatível com o mesmo para a obtenção da simulação do circuito.

Figura 22 – Circuito gerador de onda triangular simulado em LTSpice

Figura 23 – Simulação do circuito gerador de onda triangular.

Page 50: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

48 Capítulo 4. Resultados e Discussão

Figura 24 – Simulação do circuito gerador de onda triangular.

As figuras 23 e 24 mostram a saída do programa. Vemos que a onda triangular ge-rada possui 𝑉𝑃 𝑖𝑐𝑜 = 2, 65𝑉 e 𝑓 = 171𝑘𝐻𝑧. Os valores são próximos dos valores calculados,e o mais importante, temos uma onda triangular limpa e sem distorções.

4.1.2 Circuito PWM

Para o circuito da figura 25 o circuito PWM foram utilizados dois comparadores eum circuito inversor de fase. O modelo utilizado para o comparador foi o modelo LT1715,que corresponde a um comparador com especificações compatíveis com o comparadorLM311 a ser utilizado.

Os resultados encontram-se nas figuras 26, 27 e 28. Vemos que um dos compara-dores recebe o sinal de áudio em fase enquanto que o outro comparador recebe o sinalcom fase invertida, vemos na figura 27 a sincronia dos dois comparadores e finalmente atensão diferencial entre eles(PWM três níveis).

Figura 25 – Circuito PWM para simulação em LTSpice.

4.1.3 Geração de tempo morto

O circuito para geração de tempo morto simulado em LTSpice encontra-se nafigura 29. O diodo se encontra polarizado para que se atrase a borda de subida do sinal.A intensão do nosso circuito é atrasar o sinal em 200ns, como calculado na sessão 3.2.4.

Page 51: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.1. Simulações 49

Figura 26 – Simulação de um dos comparadores do circuito PWM

Figura 27 – As duas saídas PWM geradas pelo circuito.

Figura 28 – Tensão diferencial entre os dois comparadores.

Podemos ver na figura 30 a saída do circuito RC, antes de passar pelo inversor digital.Como estamos trabalhando com o sinal invertido, o atraso na borda de descida é vistocomo um atraso na borda de subida após a inversão. As figuras 31 e 32 mostram a tensãono inversor de saída em comparação com a entrada, na figura 32 conseguimos ver o tempode atraso, que é de 175ns, bem próximo do calculado.

Page 52: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

50 Capítulo 4. Resultados e Discussão

Figura 29 – Circuito para geração de tempo morto simulado em LTSpice.

Figura 30 – Saída do circuito RC em comparação com a entrada

Figura 31 – Saída do circuito gerador de tempo morto em comparação com a entrada.

4.1.4 Circuito Driver e Estágio de Amplificação

Para a modelagem do circuito de driver foram utilizadas fontes dependente dastensões de saída do circuito gerador de tempo morto, estas fontes simplesmente dão umganho a essas saídas para que estas consigam chavear os transistores. O circuito driver +amplificador + filtro passa-baixas em simulação no LTSpice pode ser visto na figura 33.

O resultado da simulação encontra-se na figura 34, para uma entrada na frequênciade 𝑓𝑖𝑛 = 20𝑘𝐻𝑧 com 𝑉𝑖𝑛𝑝 = 1, 5𝑉 , vemos uma saída com 𝑉𝑜𝑢𝑡𝑝 = 42𝑉 e 𝐼𝑜𝑢𝑡𝑝 = 20𝐴 e umindice de modulação de 𝑎𝑚 = 0.75.

Page 53: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.1. Simulações 51

Figura 32 – Foco no atraso gerado pelo circuito gerador de tempo morto.

O ganho do amplificador:

𝐾𝑎 = 𝑉 𝑜𝑢𝑡𝑝

𝑉 𝑖𝑛𝑝= 28

O valor esperado para a tensão de pico da saída, dado este indice de modulação é:

𝑉𝑜𝑢𝑡𝑝𝐶𝑎𝑙𝑐 = 𝑉𝑓𝑜𝑛𝑡𝑒 * 𝑎𝑚

𝑉𝑜𝑢𝑡𝑝𝐶𝑎𝑙𝑐 = 60𝑉 * 0.75 = 45𝑉

Vemos que temos uma saída bem próxima a esperada com relação ao ganho, porémao visualizar a figura 35 vemos que a saída do amplificador gera um atraso em malhaaberta, nosso próximo passo é arquitetar uma estrutura de controle que dê um ajuste namargem de fase do sistema, e que melhore sua sensibilidade a variações de carga.

Figura 33 – Circuito Driver+Amplificador+Filtro simulado em LTSpice

Page 54: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

52 Capítulo 4. Resultados e Discussão

Figura 34 – Circuito Driver+Amplificador simulado em LTSpice

Figura 35 – Atraso gerado na saída do amplificador

4.1.5 Sistema de controle

O sistema de controle do nosso amplificador foi escolhido com base em (NIELSEN,1998). O método apresentado na tese é chamado de topologia CVCF(Current VoltageControl Feedback). Ele consiste em duas realimentações em cascata, uma realimentaçãoda tensão de saída do amplificador filtrada, e uma realimentação da corrente no indutordo filtro passa-baixas. Agora descreveremos o sistema parte a parte.

O sistema, ilustrado na figura 36 é composto por:

∙ A(s) - Amplificador + Indutor:

Page 55: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.1. Simulações 53

Figura 36 – Diagrama de blocos da estrutura de controle apresentada em (NIELSEN,1998)

Para esta análise, devemos ’separar’ o indutor do filtro e analisar a soma do ampli-ficador +indutor.

𝐴(𝑠) = 𝐾

𝐿

𝑠2 + 𝜔𝑜

𝑄𝑜

𝑠2 + 𝜔𝑜

𝑄𝑜𝑠 + 𝜔2

𝑜

∙ F(s) - Filtro RC

Como o indutor foi analisado juntamente com o sistema amplificador, o circuito dofiltro reduz-se apenas um circuito RC

𝐹 (𝑠) = 𝑅

𝑠𝑅𝐶 + 1

∙ 𝐵𝐶(𝑠) -Realimentação de Corrente

A realimentação de corrente vem apenas da medição de corrente em uma resistênciashunt 𝑅𝑚, esta resistência deve ter valor baixo para não influenciar na impedânciade saída do sistema.

𝐵𝐶(𝑠) = 𝑅𝑚

Page 56: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

54 Capítulo 4. Resultados e Discussão

Figura 37 – Equivalente de thevenin do circuito amplificador (NIELSEN, 1998)

∙ 𝐵𝑉 (𝑠) -Realimentação de tensão

A realimentação de tensão também é simples, trata-se de apenas um ganho inversoao ganho dado pelo amplificador, para que este volte ao nível de linha.

𝐵𝑉 (𝑠) = 1𝐾

∙ 𝐶𝐶(𝑠) -Compensador de Corrente

𝐶𝐶(𝑠) = 𝐾𝐶(𝜏𝑧1𝑠 + 1)𝜏𝑝1𝑠 + 1

∙ 𝐶𝑉 (𝑠) -Compensador de Tensão

𝐶𝑉 (𝑠) = 𝐾𝑉 (𝜏𝑧2𝑠 + 1)𝜏𝑝2𝑠 + 1

∙ 𝐼(𝑠) - Filtro

𝐼(𝑠) = 𝜔2𝑟

𝑠2 + 𝜔𝑟

𝑄𝑟𝑠 + 𝜔2

𝑟

Nosso sistema em malha aberta pode ser modelado como sendo:

𝑋(𝑠) = 𝐴(𝑠)𝑥𝐹 (𝑠) = 𝐾 * 𝜔2𝑜

𝑠2 + 𝜔𝑜

𝑄𝑜𝑠 + 𝜔2

𝑜

De fato, se observarmos o equivalente de thevenin do circuito na figura 37, mo-delando o sistema amplificador como um ganho, e considerando que o equivalente dasindutâncias e capacitâncias do filtro estão em série no equivalente de thevenin, podemosobter a saída 𝑉𝑜 do amplificador:

𝐿𝑒𝑞 = 2 * 𝐿 = 2 * 5, 3𝑢𝐻 = 10, 6𝑢𝐻

Page 57: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.1. Simulações 55

𝐶𝑒𝑞 = 12𝐶

= 1/2 * 5, 3𝑢𝐹 = 2, 65𝑢𝐹

𝑉𝑜 =𝑅// 1

𝑠𝐶

𝐿𝑠 * (𝑅// 1𝑠𝐶

) * 𝑉𝑖

Onde 𝑉𝑖 pode ser representada como o ganho do amplificador, multiplicada pelo índicede modualação do amplificador.

𝑉𝑖 = 𝐸 * 𝑚(𝑠) = 𝐾 * 𝑉𝑠𝑖𝑔 * 𝑚(𝑠)

Temos finalmente a função de transferência do amplificador em malha aberta:

𝑉𝑜

𝑉𝑠𝑖𝑔

= 𝐾 * 𝑚(𝑠)1

𝐿𝐶

𝑠2 + ( 1𝑅𝐶

)𝑠 + 1/𝐿𝐶

Onde 𝜔𝑜 =√︁

1𝐿𝐶

, e 𝑄 = 𝑅𝐶√𝐿𝐶

.

Substituindo os valores de R, L, C, e K na equação, obtemos:

𝑉𝑜

𝑉𝑠𝑖𝑔

= 28 * 35.6𝑥109

𝑠2 + 188.7𝑥103𝑠 + 35.6𝑥109 * 𝑚(𝑠)

Em (NIELSEN, 1998), é dada uma tabela com valores indicados para o projetodo sistema de controle. Ele é baseado na largura de banda do nosso circuito, ou seja, afrequência de corte do filtro passa-baixas. A tabela pode ser vista na figura 38.

Para esta tabela, como dito, nos basearemos na frequência de corte do filtro, 𝑓𝑜 =30𝑘𝐻𝑧. Os valores escolhidos foram:

𝑓𝑢𝑐 = 𝑓𝑜 = 30𝑘𝐻𝑧

𝑓𝑢𝑣 = 𝑓𝑢𝑐

2 = 15𝑘𝐻𝑧

𝜏𝑧1 = 1𝑓𝑜

= 33.33𝑥10−6

𝜏𝑝1 = 10𝑓𝑜

= 333.33𝑥10−6

𝜏𝑧2 = 2𝑓𝑢𝑣

= 16.67𝑥10−6

𝜏𝑝2 = 20𝑓𝑢𝑣

= 166.67𝑥10−6

Obtemos então a equação para os compensadores:

𝐶𝐶(𝑠) = 𝐾𝐶(𝜏𝑧1𝑠 + 1)𝜏𝑝1𝑠 + 1 = 𝐾𝐶 * (33.33 * 10−6)𝑠 + 1

(333.33 * 10−6)𝑠 + 1

Page 58: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

56 Capítulo 4. Resultados e Discussão

Figura 38 – Tabela de referência para escolha dos pólos e zeros dos compensadores dosistema de controle. (NIELSEN, 1998)

𝐶𝑉 (𝑠) = 𝐾𝑉 (𝜏𝑧2𝑠 + 1)𝜏𝑝2𝑠 + 1 = 𝐾𝑉 * (16.67 * 10−6)𝑠 + 1

(166.67 * 10−6)𝑠 + 1

Como o sistema em malha aberta possui frequência de corte 𝑓𝑜 = 30𝑘𝐻𝑧, parafrequências altas, entre 10 kHz e 20kHz a fase do sistema é comprometida. Deseja-se umsistema de controle que garanta uma melhor resposta de fase na região de frequências deinteresse (entre 20Hz e 20kHz). Utilizando o software MATLAB foi testado o sistema decontrole, e feito alguns ajustes de forma que o sistema se comporte da maneira correta, asimulação do sistema encontra-se na figura 39, podemos ver correção da fase e amplitudeque o sistema de controle proporciona.

Após a simulação em MATLAB, o próximo passo é a simulação do circuito decontrole em LTSpice, será utilizado o circuito compensador como indicado na figura 40, ocircuito já faz o papel da soma e do compensador. A equação característica do circuito é:

𝑉𝑜𝐶𝑜𝑛𝑡𝑟𝑜𝑙 = 𝑉𝑖𝑛 + 𝑅2

𝑅1· 𝑅2𝐶2𝑠 + 1

𝑅1𝐶1𝑠 + 1(𝑉𝑖𝑛 − 𝑉𝑂𝐶𝑜𝑛𝑡𝑟𝑜𝑙)

Onde 𝑅2𝑅1

= 𝐾 e 𝑅1,2 * 𝐶1,2 = 𝜏1,2

Page 59: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.1. Simulações 57

Figura 39 – Simulação do sistema de controle em MATLAB. Vemos em azul tracejadoo sistema em malha aberta, em vermelho o sistema+controle, e em verde eazul, os controles de tensão e corrente, respectivamente

Vê-se que o circuito já implementa a soma entre a realimentação e a malha aberta,além de aumentar a resistência de entrada do circuito, pois o sinal de entrada será conec-tado a entrada não-inversora do amplificador(que tem resistência teórica infinita).

Figura 40 – Circuito compensador utilizado para malha de controle

Finalmente foi implementado o circuito de controle em LTSpice, pode-se ver na

Page 60: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

58 Capítulo 4. Resultados e Discussão

figura 41 a tologia utilizada. Na primeira tentativa de simulação o circuito mostrou-seinstável, isto aconteceu pois os ganhos utilizados para os compensadores estava muito alto,fazendo com que os amplificadores operacionais entrassem em saturação. Após algunspequenos ajustes de ganho chegamos em um resultado favorável, como pode ser vistonas figuras 42 e 43 o circuito comporta-se como esperado para a frequência crítica de20kHz, com um atraso desprezível. Foram efetuados diversos testes, variando a frequênciae amplitude do sinal de entrada na faixa de interesse, além de testes de variação de carga,considerando que um alto falante possui uma impedância que varia com a frequência dosinal de entrada. Para todos os casos, o circuito se comportou normalmente, apenas comum pequeno transiente de aproximadamente 300us em casa caso.

Figura 41 – Circuito de controle simulado em LTSpice

Após garantir o funcionamento do circuito, fez-se a análise de THD do mesmo,utilizando a função .FOUR do software LTSpice, foi feita a análise para 3 frequênciasdiferentes (200Hz, 1000Hz, 20kHz), e utilizando os 6 primeiros harmônicos. O resultadopode ser visto nas figuras 44, 45, e 46. Concluiu-se que para todas o espectro de frequência,o amplificador produz uma saída com 𝑇𝐻𝐷 < 1%, atendendo as especificações do projeto.Sendo:

𝑇𝐻𝐷200𝐻𝑧 = 0.12%

𝑇𝐻𝐷1000𝐻𝑧 = 0.63%

𝑇𝐻𝐷20000𝐻𝑧 = 0.71%

Page 61: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.1. Simulações 59

Figura 42 – Simulação do circuito em malha fechada com malha de controle

Figura 43 – Ajuste de fase para a frequência crítica f=20kHz

Figura 44 – Análise de THD para a frequência de 200Hz

Page 62: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

60 Capítulo 4. Resultados e Discussão

Figura 45 – Análise de THD para a frequência de 1000Hz

Figura 46 – Análise de THD para a frequência de 20kHz

Page 63: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

4.2. Placa PCB 61

4.2 Placa PCBApós a confirmação do funcionamento do amplificador via simulação, foi feita a

montagem da placa PCB. Utilizando o software EasyEDA foi criada uma placa de duascamadas contendo os componentes para o funcionamento do circuito, como pode-se verna figura 47.

Para o circuito impresso, é interessante que os CI‘s de driver estejam o mais pró-ximo possível dos MOSFET‘s de potência. O terra de potência e o terra de sinal devemser separados, e sua conexão deve ser feita o mais próximo possível da alimentação.

O próximo passo será a compra dos componentes para a montagem final do cir-cuito. Após a montagem, devem ser feitos testes primeiramente com carga resistiva, paragarantir o funcionamento do circuito, para enfim fazer os testes de alto-falante e verifi-car a qualidade sonora, potência de saída e a THD do amplificador, e comparar com assimulações.

Figura 47 – Placa PCB criada no software Easy EDA

Page 64: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 65: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

63

5 Conclusões

Este trabalho se tratou do projeto de um amplificador classe-D utilizando mo-dulação PWM de 3 Níveis (PWM-NBDD). Após simulações viu-se que o amplificadorfunciona bem na largura de banda de interesse(20Hz-20KHz), foi feita a simulações doamplificador em malha aberta, e após a adição do circuito de controle foi observada acorreção de fase e o teste para variação de carga foi positivo.

A maior dificuldade de projeto foi a calibragem do sistema de controle. Mesmo quea tabela utilizada, citada em (NIELSEN, 1998), fornecesse bons primeiros valores parauma tentativa, foi necessário uma grande quantidade de testes para a sintonização dosganhos e das frequências dos pólos e zeros dos compensadores.

Acredita-se que o circuito está apto a ser impresso, e após a montagem o próximopasso é o teste do amplificador em carga resistiva, para enfim fazer o teste com alto-falante. Este trabalho foi inicialmente concebido como uma etapa de desenvolvimento deum sistema de sonorização ativo comercial, onde o amplificador de potência será embutidona caixa acústica, e será vendido como produto. Portanto o projeto continua, esperandogerar frutos no futuro.

Page 66: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de
Page 67: Projeto e construção de um sistema de potência , … › ~troliveira › docs › tcc › 2017_PedroCambra...Entre 1979 e 1985 apareceram amplificadores classe D profissionais de

65

Referências

FOONG, H. C.; TAN, M. T. Analysis of thd in class d amplifiers. January 2007. (Citadonas paginas 9 e 24.)

HEERDT, F. W. Amplificadores Chaveados Para Aplicações em áudio. Tese (Doutorado)— UFSC, 1997. (Citado na pagina 20.)

IEEE Recomended Practicies and Requirements for Harmonic Control in ElectricalPower Systems. 1992. (Citado na pagina 19.)

NIELSEN, K. Audio Power Amplifier Techniques With Energy Efficient PowerConversion. Tese (Doutorado) — University of Denmark, 1998. (Citado nas paginas 9,10, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 52, 53, 54, 55, 56 e 63.)

PIRES, F. J. A. Amplificador de Áudio Classe D. Tese (Doutorado) — Faculdade deEngenharia da Universidade do Porto, 2010. (Citado nas paginas 9, 18, 26, 27 e 38.)

SELF, D. In: Audio Power Amplifier Design Handbook. [S.l.: s.n.], 2002. (Citado naspaginas 17 e 19.)

SMITH, A. S. S. e K. C. In: Microeletrônica - 5a edição. [S.l.: s.n.], 2000. (Citado naspaginas 9, 21 e 41.)